JP2022534858A - 複合ロジックセルのための小型3d積層cfetアーキテクチャ - Google Patents

複合ロジックセルのための小型3d積層cfetアーキテクチャ Download PDF

Info

Publication number
JP2022534858A
JP2022534858A JP2021564305A JP2021564305A JP2022534858A JP 2022534858 A JP2022534858 A JP 2022534858A JP 2021564305 A JP2021564305 A JP 2021564305A JP 2021564305 A JP2021564305 A JP 2021564305A JP 2022534858 A JP2022534858 A JP 2022534858A
Authority
JP
Japan
Prior art keywords
gate
stack
substrate
semiconductor device
semiconductor devices
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021564305A
Other languages
English (en)
Other versions
JPWO2020242909A5 (ja
Inventor
リーブマン,ラース
スミス,ジェフリー
デヴィリアーズ,アントン
チャネムゲーム,ダニエル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2022534858A publication Critical patent/JP2022534858A/ja
Publication of JPWO2020242909A5 publication Critical patent/JPWO2020242909A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/20Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits characterised by logic function, e.g. AND, OR, NOR, NOT circuits
    • H03K19/21EXCLUSIVE-OR circuits, i.e. giving output if input signal exists at only one input; COINCIDENCE circuits, i.e. giving output only if all input signals are identical
    • H03K19/215EXCLUSIVE-OR circuits, i.e. giving output if input signal exists at only one input; COINCIDENCE circuits, i.e. giving output only if all input signals are identical using field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Logic Circuits (AREA)

Abstract

3D ICは、基板表面を有する基板と、基板の厚さ方向に沿って積層された半導体デバイスの第1のスタックと、基板の厚さ方向に沿って積層され、且つ基板表面に沿った方向に第1のスタックに隣接して設けられた半導体デバイスの第2のスタックとを含む。第1及び第2のスタックの各半導体デバイスは、ゲートと、それぞれのゲートの両側に設けられたソース-ドレイン領域の対とを含み、且つ第1及び第2のスタックの各ゲートは、スプリットゲートである。半導体デバイスの第1のものの第1のスプリットゲートに、ゲートコンタクトが物理的に接続される。該ゲートコンタクトは、3D ICにおいて第1の半導体デバイスを第2の半導体デバイスに電気的に接続するローカル相互接続構造の少なくとも一部を形成する。

Description

関連出願の相互参照
本出願は、2019年5月31日に出願された「Compact 3D Stacked CFET Architecture for Complex Logic Cells」という名称の米国仮特許出願第62/855,374号及び2020年4月15日に出願された「Compact 3D Stacked CFET Architecture for Complex Logic Cells」という名称の米国特許出願第16/849,630号からの米国特許法第119条(e)の下での優先権を主張するものであり、これらの開示全体が参照により本明細書に組み込まれる。
本開示は、半導体デバイス、トランジスタ及び集積回路を含むマイクロエレクトロニクスデバイスに、このようなデバイスの微細加工の設計及び方法を含めて関する。
本明細書において提供される背景の説明は、本開示の状況を一般的に示すためのものである。この背景のセクションで説明される範囲における本発明者らの研究及び出願時に先行技術として他に認定されないであろう記載の態様は、本開示に対する先行技術として明示的にも暗示的にも認められない。
集積回路は、スマートフォン、コンピュータなどの電子機器を提供するためにエレクトロニクス産業で広範に用いられている。集積回路(IC)は、トランジスタ、キャパシタなど、多くの半導体デバイスを含み、これらは、半導体基板上で配線により相互接続される。電子機器でより多くの複雑な機能をサポートするために、より小型且つ高速なICに対する需要が一層増大している。この需要は、半導体製造産業に基板上のICの面積を縮小させると同時に、ICの性能及び電力消費効率を向上させている。
半導体ICの(特に微視的スケールでの)製造において、膜形成堆積、エッチングマスク生成、パターン形成、材料エッチング及び除去並びにドーピング処理などの様々な製造プロセスが行われる。これらのプロセスを繰り返し実行して所望の半導体デバイス素子を基板上に形成する。歴史的に、微細加工では、ICのトランジスタは、1つの平面内に作製され、この能動デバイス平面の上にICの配線/メタライゼーションが形成されており、したがって2次元(2D)回路又は2D製作と見なされている。スケーリングの取り組みにより、2D回路の単位面積当たりのトランジスタの数が大幅に増加しているため、ロジック回路及びメモリ回路など、異なる機能回路を同一の半導体基板上に集積することが可能になっている。しかしながら、スケーリングが1桁のナノメートル半導体デバイス加工ノードに進化するにつれて、2Dスケーリングの取り組みは、より大きい課題に直面している。半導体デバイス製造業者は、ICの更なるスケーリングを行う別の手段として、トランジスタを互いの上に積層した3次元(3D)半導体回路に対する要望を表明している。
本明細書に記載の技法により、セルスケーリングゲインが、ピンアクセス混雑に起因する配線可能性の劣化によって見劣りしたものにされるほどにピンの密度(すなわちロジックセルへのアクセスポイントの密度)を高めることなく、トランジスタオントランジスタ(transistor-on-transistor)3D集積化が可能になる。本開示の一態様(1)によれば、3次元(3D)集積回路(IC)が提供される。3D ICは、基板表面を有する基板と、基板内に設けられたパワーレールとを含む。第1の半導体デバイスが、基板内に設けられ、且つ基板表面に略垂直な基板の厚さ方向に沿ってパワーレールの上に配置され、第1の半導体デバイスは、第1のゲートと、第1のゲートの両側に設けられたソース-ドレイン領域の第1の対とを有する。第2の半導体デバイスが、基板内に設けられ、且つ厚さ方向に沿って第1の半導体デバイスの上に積層され、第2の半導体デバイスは、第2のゲートと、第2のゲートの両側に設けられたソース-ドレイン領域の第2の対とを有し、第1のゲートは、第2のゲートから物理的に分離される。第1のゲートが第2のゲートに電気的に接続されるように、導電性ゲートツーゲートストラップ接続が第1のゲートから第2のゲートまで延びる。
態様(2)は、態様(1)の3D ICを含み、ゲートツーゲートストラップは、第1のゲートから第2のゲートまで延びる少なくとも1つの垂直コンタクトを含む。
態様(3)は、態様(2)の3D ICを含み、第1のゲートと第2のゲートとは、同一直線上に積層される。
態様(4)は、態様(3)の3D ICを含み、ゲートツーゲートストラップは、互いに物理的に分離される複数の垂直コンタクトを含む。
態様(5)は、態様(1)の3D ICを含み、第1のゲートと第2のゲートとは、スタガード配置で積層される。
態様(6)は、態様(5)の3D ICを含み、これは、第1のゲートに接続された第1のゲートコンタクトと、第2のゲートに接続された第2のゲートコンタクトとを更に含み、第1のゲートコンタクトは、第2のゲートコンタクトと比べてより大きい垂直高さを有する。
態様(7)は、態様(6)の3D ICを含み、これは、基板内に設けられ且つ厚さ方向に沿って第2の半導体デバイスの上に配置された配線層を更に含み、第1及び第2のゲートコンタクトは各々、垂直に延びて配線層と接続する。
態様(8)は、態様(1)の3D ICを含み、これは、第1の半導体デバイスのソース-ドレイン領域を第2の半導体デバイスのソース-ドレイン領域と接続する統合エピタキシャル構造を更に含む。
態様(9)は、態様(8)の3D ICを含み、統合エピタキシャル構造は、3D ICからの共通出力ピンを提供するように構成される。
態様(10)は、態様(1)の3D ICを含み、これは、パワーレールから垂直に延びるパワーウォールを更に含む。
態様(11)は、基板表面を有する基板と、基板の厚さ方向に沿って積層された半導体デバイスの第1のスタックと、基板の厚さ方向に沿って積層され、且つ基板表面に沿った方向に第1のスタックに隣接して設けられた半導体デバイスの第2のスタックとを含む3D ICを含む。第1及び第2のスタックの各半導体デバイスは、ゲートと、それぞれのゲートの両側に設けられたソース-ドレイン領域の対とを含み、且つ第1及び第2のスタックの各ゲートは、スプリットゲートである。半導体デバイスのうちの第1の半導体デバイスの第1のスプリットゲートに、ゲートコンタクトが物理的に接続され、当該ゲートコンタクトは、3D ICにおいて第1の半導体デバイスを第2の半導体デバイスに電気的に接続するローカル相互接続構造の少なくとも一部を形成する。
態様(12)は、態様(11)の3D ICを含み、第1及び第2の半導体デバイスは、半導体デバイスの第1のスタック内で順次積層されている。
態様(13)は、態様(12)の3D ICを含み、ゲートコンタクトは、第1の半導体デバイスと第2の半導体デバイスとが電気的に接続されるように、第1のスプリットゲートから第2の半導体デバイスの第2のスプリットゲートまで垂直に延びるゲートツーゲートストラップである。
態様(14)は、態様(13)の3D ICを含み、これは、厚さ方向に半導体デバイスの第1及び第2のスタックの上に設けられた配線層と、第2のスプリットゲートから垂直に延びて、第1及び第2の半導体デバイスへの共通入力を提供する垂直コンタクトとを更に含む。
態様(15)は、態様(12)の3D ICを含み、第1のスプリットゲートは、第2の半導体デバイスの第2のスプリットゲートに対してスタガード配置される。
態様(16)は、態様(15)の3D ICを含み、これは、厚さ方向に半導体デバイスの第1及び第2のスタックの上に設けられた配線層と、第1のスプリットゲートから配線層まで垂直に延びる第1の垂直コンタクトと、第2のスプリットゲートから配線層まで垂直に延びる第2の垂直コンタクトとを更に含み、第1の垂直コンタクトは、第2の垂直コンタクトよりも大きい高さを有する。
態様(17)は、態様(11)の3D ICを含み、第1及び第2の半導体デバイスは、それぞれ、第1及び第2のスタック内に設けられている。
態様(18)は、態様(17)の3D ICを含み、ローカル相互接続構造は、第1のスプリットゲートを半導体デバイスの第2のスタック内の第2のスプリットゲートに電気的に接続する。
態様(19)は、態様(18)の3D ICを含み、ゲートコンタクトは、基板表面に沿って第1のスタックから第2のスタックまで延びる水平相互接続構造を含む。
態様(20)は、態様(18)の3D ICを含み、ローカル相互接続構造は、第1のスプリットゲートを第2のスタック内の半導体デバイスのソース-ドレイン領域に電気的に接続する。
態様(21)は、態様(20)の3D ICを含み、ゲートコンタクトは、基板表面に沿って第1のスタックから第2のスタックまで延びる水平相互接続構造を含む。
態様(22)は、態様(21)の3D ICを含み、これは、ソース-ドレイン領域から、基板表面に沿った方向に延びるローカル相互接続タブを更に含み、第1のスプリットゲートからローカル相互接続タブまで垂直コンタクトが垂直に延びる。
この概要のセクションは、本開示又は特許請求される発明のすべての実施形態及び/又は一層新規な態様を指定するわけではないことに留意されたい。そうではなく、この概要は、様々な実施形態及び従来技術に対する新規性に対する対応する点についての予備的な考察のみを提供する。本発明及び実施形態の更なる詳細及び/又は可能な観点について、読者は、以下で更に議論される本開示の詳細な説明のセクション及び対応する図を参照されたい。
本開示の態様は、添付の図を参照しながら以下の詳細な説明を精査することにより、最もよく理解される。産業界での標準的な慣行に従い、各種フィーチャが一定の比率で描かれていないことに留意されたい。実際に、様々なフィーチャの寸法は、考察を明確にするために任意に拡大又は縮小され得る。
異種3D集積化の一例を示す。 同種積層の一例を示す。 比較的乏しいエリアスケーリングを有する3D ICを示す。 本明細書で開示される技法によって実施され得る2入力XORロジック回路のロジック図である。 ゲートオンゲート積層で使用されるXORセルの上面平面図である。 図5Bは、図5Aのセルのソース-ドレイン面を表す垂直断面図であり、図5Cは、図5Aのセルのゲート面を表す垂直断面図である。 本開示の実施形態による、ピンアクセス混雑問題を最小化しながら、XORロジック機能などの複合ロジックセルを小型化する技術アーキテクチャの概要を示す。 本開示の実施形態に従って実施され得る、5つのCFETを有するXORロジック回路の詳細図である。 本開示の実施形態によるXORセルの垂直断面1の詳細図である。 本開示の実施形態によるXORセルの垂直断面2の詳細図である。 本開示の実施形態によるXORセルの垂直断面3の詳細図である。 本開示の実施形態によるXORセルの垂直断面4の詳細図である。 本開示の実施形態によるXORセルの垂直断面5の詳細図である。 本開示の実施形態によるXORセルの垂直断面6の詳細図である。 本開示の実施形態によるXORセルの垂直断面7の詳細図である。
本発明の概念は、その特定の実施形態によって最もよく説明される。本明細書では、添付の図面を参照しながら実施形態を詳細に説明し、全体を通して、同様の参照符号は、同様のフィーチャを指す。本明細書で使用する場合、「発明」という用語は、以下に記載されている実施形態の基礎となる発明概念を意味するものであり、単に実施形態自体を意味するものではないことを理解されたい。更に、一般的な発明の概念は、以下に説明する例示的な実施形態に限定されるものではなく、以下の説明は、そのような観点から読まれるべきであることを理解されたい。
加えて、本明細書で使用される場合、「例示的」という語は、「例、事例又は例証としての役割を果たす」ことを意味している。本明細書において「例示的」として記述される構成、プロセス、設計、技法などの任意の実施形態は、必ずしもこのような他の実施形態よりも好適又は有利であると解釈されるべきではない。本明細書において例示的であるとして示されている例の特定の品質又は適合性は、意図されておらず、また推断されるべきでもない。
更に、「下方」、「下の」、「下側」、「上方」、「上側」など、空間的関係を指す用語は、ある要素又はフィーチャと、別の要素又はフィーチャとの関係を図示のように記述するために、記述を容易にするように本明細書で用いられ得る。空間的関係を指す用語は、図で示す向き以外に使用又は動作中の装置の様々な向きを含めることを意図している。装置は、向きを変える(90度回転するか又は他の向きにする)ことができ、本明細書で用いる空間的関係を指す記述子も同様に適宜解釈され得る。
当然のことながら、ここに記載される様々なステップの説明の順序は、明確にするために提示したものである。一般に、これらのステップは、任意の好適な順序で実行され得る。加えて、本明細書における様々な特徴、技法、構成などのそれぞれが本開示の様々な箇所で説明されている場合があるが、それらの概念のそれぞれは、互いに独立して又は互いに組み合わされて実行され得ることが意図されている。したがって、本発明は、多くの異なる方法で具現化及び検討することができる。
背景で注記したように、半導体デバイス製造業者は、従来の2Dスケーリング以外にICをスケーリングする別の手段として、トランジスタが互いの上に積層された3次元(3D)半導体回路に対する要望を表明している。3D集積化、すなわち半導体デバイスの垂直積層は、面積ではなく、体積当たりのトランジスタ密度を増やすことにより、2Dスケーリングの限界を克服することを目指すものである。デバイス積層は、フラッシュメモリ業界によって3D NANDを採用することにより成功裏に実証及び実装されているが、ランダムロジック設計への応用は、事実上はるかに困難である。CPU(中央処理装置)、GPU(グラフィック処理装置)、FPGA(フィールドプログラマブルゲートアレイ)及びSoC(システムオンチップ)などのロジックチップ用の3D集積化は、主として2つの手法により実現される。1つの手法は、異種積層であり、もう1つの手法は、同種積層である。
図1は、「Process Integration Aspects enabling 3D sequential stacked planar and FINfet Technology,Anne VanDooren,IMEC PTW Spring 2018」に開示された、ウェーハ/チップ積層及びシリコン貫通ビア(TSV)技術を用いる異種3D集積化の一例を示す。この3D手法では、各チップは、異なる特定のタスク毎に設計及び製造が最適化され、3D集積化は、積層SoCを構築するための効率的なパッケージング技術として使用される。例えば、図1に概略的に示すように、IC100は、化学センサ及び生物センサを含むように最適化され得るチップ101を含み、チップ103は、他のセンサ及びイメージャに特化し、チップ105は、ナノデバイス及びMEMSデバイスを含む。チップ107は、RF機能、ADC機能及び/又はDAC機能に最適化でき、チップ109は、メモリスタックを提供できる。チップ111は、プロセッサのために設計され得、チップ113は、IC全体にエネルギー/電力を供給することに特化し得る。TSV115は、様々な機能的チップを小型パッケージ100に集積するために設けられる。異種集積手法の詳細は、2019年10月発行の「Heterogeneous Integration Roadmap,2019 Edition」に発表されている(eps.iee.org/hirを参照されたい)。
図2は、「Monolithic 3D IC:The Time is Now,Brian Cronquist and Zvi Or-Bach, Monolithic,2014 Intl.Workshop on Data-Abundant System Technology,April 2014」に開示された同種積層手法の一例を示す。この手法は、ウェーハ接合処理を用いて、チップ積層に用いるミクロンサイズのTSVに関連する密度損失を克服する。この接合手法では、ベースウェーハ210を処理して、nMOS211及びpMOS213などのデバイス及びメタライゼーション/配線215のいくつかの層を形成する。次いで、転写ドナー層と多くの場合に呼ばれる薄化SoI(シリコンオンインシュレータ)層220がベースウェーハ210の最上部に配置され、そこに酸化物-酸化物結合225を介して接合されて、完成した構造200を形成する。このウェーハ接合法では、上述の異種チップ積層手法と比較して必要とされるビアの寸法がはるかに小さい(約100nmピッチ)ため、より良好な段(ティア)間接続が実現できる。しかしながら、本発明者らは、独立に処理されたウェーハ又はウェーハ部分が互いの上に積層されるため、この手法が真のモノリシック集積化ではないことを認識した。したがって、ウェーハ接合法は、半導体製造のための真のスケーリングソリューションを提供しない。更に、このウェーハ接合プロセスに付随するプロセスの複雑さ及びコストのオーバーヘッドは、真のモノリシック3D集積化に関連付けられたスケーリング目標に達していない。
真のモノリシック3D集積化は、同一シリコン基板上に複数のデバイスレベルを製作することを含む。3D NANDは、メモリチップの真のモノリシック集積化の一例である。3Dロジックの真のモノリシック集積化は、部分的に、各デバイス層の接続及び機能化に必要とされる多様な配線のためにより困難である。
本明細書に記載の技法は、トランジスタを3Dロジック機能に効率的且つコンパクトにモノリシック集積化できるデバイスアーキテクチャ及び対応するローカル相互接続構造を提供する。技法は、トランジスタオントランジスタ3D集積化プロセスにおける複合ロジックセルの効率的且つコンパクトな設計を可能にする、垂直方向及び横方向のローカル相互接続構成体の包括的なセットを含む。
上述のように、3D集積化の手法は、シリコン貫通電極(TSV)を用いたウェーハ積層、シーケンシャル3D接合及び単一のシリコン基板から複数のデバイスレベルを構築するモノリシック(真のモノリシック)3D集積化を含む。3D集積化手法は、積層されるユニットの粒度により、マクロオンマクロ(macro-on-macro)3D手法、ゲートオンゲート(gate-on-gate)3D手法及びトランジスタオントランジスタ(transistor-on-transistor)3D手法に更に分類され得る。
マクロオンマクロ3D手法では、機能ブロック又はロジックマクロ全体が積層される。ブロック全体を積層することは、接続が疎であっても良好に実装できるため、TSVベースの手法が有効であり得る。ゲートオンゲート3D手法では、標準セル(ロジック設計上の基本ビルディングブロック)を互いの上に積層することにより、機能ブロックが2つの階層に分かれ、配線レベルは、デバイス階層間の空間に配置され得る。図5Cは、後に詳述するように、デバイス面間に5つの配線レベル有する3Dアーキテクチャを示す。ゲートオンゲートを、シーケンシャル3Dを用いて実装することは、可能であるが、この手法は、スケーリング上の利益が極めて限られている。モノリシック集積化手法でゲートオンゲート3Dを実装することは、各セルのセル内配線及び必要なセル間配線を正常に完成させるために2つのデバイスレベル間に多数の配線レベルを集積させることが必要であることにより、困難になっている。
トランジスタオントランジスタ3D手法では、個々のトランジスタが垂直に積層されて、体積当たりのデバイスの密度を非常に高くする。この手法は、コスト効率のよいスケーリングが期待できる一方、単純なロジックセル(NAND、NOR、AOIなど)を積層トランジスタで形成すると、セルのフットプリントが、あるセルを残りの設計に接続することが非効率的又は困難になる点まで減少し、一般的にピンアクセス混雑と呼ばれる障害が発生するという懸念がある。すなわち、トランジスタオントランジスタ3D集積化の1つの課題は、垂直に積層されたトランジスタでは、デバイス面の上に位置する配線レベルからの接続が本質的に困難になり得ることである。その結果、(スタガード配置のトランジスタコンタクトの場合に)セルのエリアスケーリングが乏しくなるか、又はセルの上におけるピンの密度が過度になって配線不可能な配線混雑となるかのいずれかになり得る。図3は、エリアスケーリングが比較的乏しい3D ICを示す。図から分かるように、IC構造300は、積層n型トランジスタ301~307及び積層p型トランジスタ309~315を含むセルと、セル境界317とを含む。ピン319は、配線レベルから各トランジスタのゲートまでを接続する。図から分かるように、積層デバイスに上の配線レベルからアクセスするには、費用がかかるポリゲートのピラミッド型の階段が必要となり得る。
本明細書に記載の技法により、セルのスケーリングゲインが、ピンアクセス混雑に起因する配線可能性の劣化によって見劣りしたものにされるほどにピンの密度(すなわちロジックセルへのアクセスポイントの密度)を高めることなく、トランジスタオントランジスタ3D集積化が可能になる。
本明細書に記載の設計は、複数の演算を内部で連結する複合ロジックセルをより多く事前に合成することにより、トランジスタ数に対する入力数が多いロジックセル(例えば、4トランジスタに4入力のAOI22)を回避する。これは、入力信号数に対してトランジスタの数が多いロジックプリミティブを構築することを含む。この手法は、ラージブロック合成として知られ、業界で検討されている。本明細書に記載の技法は、3次元でのトランジスタ配置をこれらの高次ロジックセルにおいて効率的に配線できる新しいローカル相互接続構成体も使用する。XOR(排他的論理和)ロジック機能は、複合又は高次ロジックセルの一例である。図4は、本明細書で開示される技法によって実施され得る2入力XORロジック回路のロジック図である。図から分かるように、XOR回路400のA1入力は、インバータ401に供給され、A2入力は、インバータ405に直列接続されたインバータ403に供給される。インバータ401、403及び405は、それぞれ相補型FETとして実装され得る。インバータ401、403及び405の出力が、XORセル400のロジック出力を提供するようにクロス接続された更なる相補型FET回路407及び409に供給される。
図5Aは、ゲートオンゲート積層で使用されるXORセルの2Dレンダリングを示すXORセルの上面平面図である。凡例501は、レイアウトの様々な領域に関連する構造及び/又は材料を示す。図から分かるように、セル500のレイアウトは、基板の東西方向に沿って複数のゲート(G)トラックと交互に配置され、且つ南北方向に延びて能動領域503にわたる複数のソース-ドレイン(SD)トラックを含み得る。当業者に知られるように、SDとGとが交互に配置されたこれらの領域は、基板の東西方向に半導体デバイスのアレイを形成する。セル境界は、頂部及び底部にあるパワーレール505と、図面の左端及び右端にあるポリゲートトラックGとによって形成される。デバイスコンタクト507及び509の例も示されている。このゲートオンゲート手法は、比較的大きいセルサイズ及び配線の複雑さをもたらす。特に、セルサイズは、図5Aに示すように、高さが7T(トラック)であり、幅が8ポリトラックである。そのため、セル内配線を完成させるために3つのレベルの金属が必要となる(M0、M1及びMx)。ゲートオンゲート積層の実施形態では、これらの3つの配線レベルが、続くデバイス階層間の空間に配置され得る。
図5Bは、図5Aのセルのソース-ドレイン面を表す垂直断面図であり、図5Cは、図5Aのセルのゲート面を表す垂直断面図である。構造500は、能動領域503を含み、埋込型パワーレール505は、能動デバイス面の下方の基板に設けられる。中間パワーレール506も設けられる。図から分かるように、半導体デバイスの下段511、配線段513、半導体デバイスの中段515及び半導体デバイスの最上段517が基板上に厚さ方向に積層される。2xルーティングトラックの底部層519も示されている。図から分かるように、図5A~図5Cのゲートオンゲート積層アーキテクチャは、デバイス面間の配線段513に5つの配線レベルを含む。この構成は、「ARCHITECTURE FOR MONOLITHIC 3D INTEGRATION OF SEMICONDUCTOR DEVICES」という名称の米国特許出願第16/667,442号に開示されており、その内容全体が参照により本明細書に組み込まれる。上述のように、図5A~図5Cは、モノリシック集積化手法でゲートオンゲート3Dを実装することは、各セルのセル内配線及び必要なセル間配線を問題なく完成させるために2つのデバイスレベル間に多数の配線レベル(ここでは5レベル)を集積させることが必要であることにより、困難になっていることを示す。
図6A及び図6Bは、本開示の実施形態による、ピンアクセス混雑問題を最小化しながら、XORロジック機能などの複合ロジックセルを小型化する技術アーキテクチャの概要を示す。図6Aは、セルのZ軸を通る2つの水平断面A及びBにおける上面レイアウト図を示し、図6Bは、図6Aにおいて番号付けされている7つの平面におけるy軸に沿った垂直断面図1~7を示す。構造600の平面図は、A及びBに沿った真の断面ではなく、平面A及びBの下の構造フィーチャを説明するために構造の一部を透明にして示す。凡例601は、図6Aの構造及び/又は材料を図6Bの同じ構造及び/又は材料と関連付けるために設けられている。図6Aの図から分かるように、セルサイズは、高さが5T(トラック)であり、幅が6ポリトラックであり、図5A~図5Cのゲートオンゲート構成と比較してXORセルが大幅にスケーリングされている。このスケーリングの利益を可能にする様々な構造フィーチャの概要を図6Bに示す。図から分かるように、それらフィーチャは、埋込型パワーレールBPR、パワーウォールPW、ローカル相互接続タブLIT、配線M0、ローカル相互接続LI、ゲートストラップGS、垂直コンタクトVC及び統合エピタキシャル構造MEを含む。
図6Bの断面1から分かるように、構造600は、埋設型パワーレールBPRから立ち上がる「パワーウォール」PWにデバイスのSD領域を接続するローカル相互接続タブLITを含む。このようなパワーウォールの詳細については、「POWER DISTRIBUTION NETWORK FOR 3D LOGIC AND MEMORY」という名称の米国特許出願公開第2020/0075489号に開示されており、その開示全体が参照により本明細書に組み込まれる。このフィーチャは、デバイススタック内で複合ロジックセル全体を完成させ、(パワーウォールを横断しなければならない)配線をデバイススタックの上の空間に残すトランジスタオントランジスタ積層によって実現される。
次に、図6Bの断面2を参照すると、デバイスは、「スプリットゲート」として実装され、すなわち、n/p対であっても、各トランジスタは、独立にコンタクトをとられ得る。積層ゲートの対への共通接続を容易にするために、新たにゲートツーゲートストラップGSが導入される。3D構成における1つのトランジスタの出力を別のトランジスタの信号入力として使用できるようにするために、ゲート構成体への新たな「ローカル相互接続」LIが導入される。断面3から分かるように、最上段のトランジスタの出力を最下段のトランジスタの信号入力として配線するために、垂直コンタクトVCを導入して、最上段のローカル相互接続タブLITを最下段のゲートローカル相互接続LIに繋ぐ。
図6Bの断面4から分かるように、各トランジスタが別々の入力信号を受信することができるスプリットゲート構成が設けられる。これを容易にするために、高さの異なるゲートコンタクトVCの対及びスタガード配置されたゲート拡張部分が導入される。断面5では、いくつかの垂直に積層されたトランジスタの出力を効率的に集めて共通出力ピンに導くために、統合エピタキシャルME構成体が導入される。ME内の積層SD領域を可視化するために、MEは、半透明で示されている。断面6では、トランジスタのソース又はドレインの上の配線面M0と下のローカル相互接続LI面に接続できるという垂直接続VCの柔軟性が示されている。図6Bの断面7では、最上段の1つのトランジスタからの信号入力を最下段の別のトランジスタに繋ぐために、ゲートローカル相互接続LIへの最下段側垂直コンタクトVCが導入される。
ここで、本明細書に記載の技法を、図7及び図8A~図8Gを参照しながらより詳細に説明する。実施形態の説明の便宜上、XORロジック機能(排他的論理和)を中心に説明する。XORロジック機能は、複合又は高次ロジックセルの一例であるが、本明細書では他のロジック機能を使用することも企図されている。
図7は、5つの相補型電界効果トランジスタ(CFET)CFET~CFETを用いて実装されたXORロジック回路の詳細図である。回路の10個すべてのFETが示されている。図7では、符号Pxは、xと番号付けされたCFETのp型トランジスタを指し、Nxは、xと番号付けされたCFETのn型トランジスタを指す。更に、SD1は、関連するトランジスタの第1のソース/ドレイン領域を指し、SD2は、関連するトランジスタの第2のソース/ドレイン領域を指し、Gは、関連するトランジスタのゲートを指す。例えば、「P1SD1」は、1と番号付けされたCFETのp型トランジスタの第1のSD領域を指し、「N1SD2」は、1と番号付けされたCFETのn型トランジスタの第2のSD領域を指す。同様に、「P1」は、1と番号付けされたCFETのp型トランジスタのゲート領域を指す。これらのCFETは、詳細に後述するように積層CFET構造として実装される。図7は、これも後述するように、ローカル相互接続及びコンタクトによって形成される必要なセル内接続(細線)を概略的に示す。
図8A~図8Gは、それぞれ本開示の各実施形態による図6Aの断面1~7の詳細図である。図8A~図8Gを通して、図6A及び図6Bの符号付けの方法を踏襲している。図8A~図8Gのそれぞれには、参考のために、図6Aの凡例601及び平面図が再掲されている。構造800のこれらの平面図は、A及びBに沿った真の断面ではなく、平面A及びBの下の構造フィーチャを説明するために構造800の一部を透明にして示す。
図8Aは、本開示の実施形態によるXORセルの垂直断面1の詳細図である。構造800は、略平坦な基板表面を有するモノリシック半導体基板の一部を表す。図から分かるように、構造800は、p型トランジスタに電力を供給するVDDとして機能する埋込型パワーレール803aと、n型トランジスタに電力を供給するVSSとして機能する埋込型パワーレール803bとを含む。SD領域P3SD1、N3SD1、N2SD1、P2SD1は、基板801の厚さ方向に順次互いの上に積層される。図8Aの実施形態では、トランジスタのSD領域は、構造の能動デバイスカラム812内で同一直線上に積層されている。更に、SD領域P3SD1及びN3SD1は、XORセルのCFETに関連付けられたSD領域の相補的な対を形成し、P2SD1及びN2SD1は、XORセルのCFETに関連付けられたSD領域の相補的な対を形成する。このように、積層トランジスタ対は、トランジスタのドーピング型での積層の向きを交互にするように構成される。積層の向きをこのように交互にする(すなわちnの上にp、次いでpの上にnとする)ことにより、インプラント及び仕事関数堆積の効率が向上する。向きを交互にすることにより、スタック内のすべてのデバイス対で同じ積層順序を維持する(n-p、n-p)場合と比較して、インプラント及びデバイス型固有の選択的堆積のプロセス効率を向上させることができる。
半導体デバイスを必要通りに電気接続してXOR回路を形成するために、いくつかのローカル相互接続及びコンタクト構造が設けられる。具体的には、埋込型パワーレール803aは、パワーウォール805a並びに水平相互接続タブ807及び808によってP3SD1及びP2SD1にそれぞれ接続され、埋込型パワーレール803bは、パワーウォール805b並びに水平相互接続タブ809及び810によってN3SD1及びN2SD1にそれぞれ接続される。M0層の配線811も示されている。図8Aの構造フィーチャは、図6Aから再掲された平面図に示され、この図は、平面A及びBの下の構造フィーチャを示すために部分的に透明になっている。例えば、平面図Aは、ローカル相互接続タブ808と、808の平面の下の平面にあるローカル相互接続タブ810とを示す。同様に、平面図Bは、ローカル相互接続タブ809と、809の平面の下の平面にあるローカル相互接続タブ807とを示す。
図8Bは、本開示の実施形態によるXORセルの垂直断面2の詳細図である。図から分かるように、ゲート領域P3、N3、N2及びP2は、基板の厚さ方向に順次互いの上に積層される。図6において上述したように、これらのゲート領域は、各ゲート領域が独立にコンタクトをとられ得るようにスプリットゲートとして実装される。図8Bの実施形態では、トランジスタのゲート領域は、同一直線上に積層される。ローカル相互接続813及び815は、x方向に延びて、後述するゲート領域P5、N5と接続する。ゲートツーゲートストラップ817及び818は、P2とN2との対の積層ゲートへの共通接続を提供する。更に、ゲートコンタクト819は、配線811と接続して、XORセルへのA2入力を提供する。したがって、平面図Aが透明であることにより、配線811と、配線の下のゲートコンタクト819と、P2の下のゲートストラップ817とが示されている。同様に、平面図Bが透明であることにより、相互接続815と、P3の下の相互接続813とが示されている。
図8Cは、本開示の実施形態によるXORセルの垂直断面3の詳細図である。図から分かるように、SD領域P3SD2、N3SD2、N2SD2、P2SD2は、構造の能動デバイスカラム812内に同一直線上に順次互いの上に積層される。すなわち、P3SD2、N3SD2、N2SD2、P2SD2は、XORセルのCFET及びCFETのトランジスタの第2のSD領域を形成する。更に、SD領域P3SD2、N3SD2、N2SD2及びP2SD2は、それぞれXORセルのCFET及びCFETのトランジスタの第1のドレイン領域を形成するP5SD1、N5SD1、N4SD1、P4SD1と共通のソース-ドレインとして形成される。図からも分かるように、P2SD2及びP4SD1を形成する共通SD領域は、水平相互接続タブ821及び垂直コンタクト823を介してCFETの下段のローカル相互接続815に接続される。同様に、N2SD2及びN4SD1を形成する共通SD領域は、水平相互接続タブ825及び垂直コンタクト827を介してローカル相互接続813に接続される。したがって、平面図Aが透明であることにより、配線811と、N3SD2の下の相互接続タブ821及びSDコンタクト823とが示されている。同様に、平面図Bが透明であることにより、相互接続813と、P3SD2の下にある相互接続815とが示されている。
図8Dは、本開示の実施形態によるXORセルの垂直断面4の詳細図である。図から分かるように、スプリットゲート領域P5、N5、N4及びP4は、基板の厚さ方向に順次互いの上に積層される。更に、ゲート領域P4及びN4は、高さが異なるゲートコンタクト839及び841が最上部配線のM0レベルの配線833及び837に接触できるように、互いにスタガード配置される。配線835も示されている。スプリットゲート領域P5及びN5は、それぞれゲートコンタクト829及び831に接続される。
図8Eは、本開示の実施形態によるXORセルの垂直断面5の詳細図である。図から分かるように、SD領域P5SD2、N5SD2、N4SD2及びP4SD2は、構造の能動デバイスカラム812内で同一直線上に順次互いの上に積層される。すなわち、P5SD2、N5SD2、N4SD2及びP4SD2は、XORセルのCFET5及び4のトランジスタの第2のSD領域を形成する。更に、これらのSD領域は、統合エピタキシャル成長領域839として設けられて、いくつかの垂直に積層されたトランジスタの出力を効率的に集めてXOR回路の共通出力ピンに導く。この出力は、SDコンタクト841とM0の配線835とによって提供される。
図8Fは、本開示の実施形態によるXORセルの垂直断面6の詳細図である。図から分かるように、SD領域N1SD1、P1SD1は、構造の能動デバイスカラム812内で同一直線上に順次互いの上に積層される。すなわち、N1SD1、P1SD1は、XORセルのCFETのトランジスタの第1のSD領域を形成する。図からも分かるように、共通SD領域P1SD1は、水平相互接続タブ843及び垂直コンタクト845を介して配線833に接続される。同様に、N1SD1を形成するSD領域は、水平相互接続タブ847及び垂直SDコンタクト849を介してローカル相互接続831に接続される。ローカル相互接続829も示されている。したがって、平面図Aが透明であることにより、配線833と、相互接続タブ843及びSDコンタクト845とが示されている。同様に、平面図Bが透明であることにより、相互接続タブ847と、コンタクト849及び相互接続831とが示されている。
図8Gは、本開示の実施形態によるXORセルの垂直断面7の詳細図である。図から分かるように、ゲート領域N1及びP1は、基板の厚さ方向に順次互いの上に積層される。図8Gの実施形態では、トランジスタのゲート領域は、同一直線上に積層される。ゲートツーゲートストラップ851及び852は、P1とN1との対の積層ゲートへの共通接続を提供する。更に、ゲートコンタクト853は、配線837と接続して、XORセルへのA1入力の一部を提供する。ゲートコンタクト855は、共通ゲートをローカル相互接続829に接続する。したがって、平面図Aが透明であることにより、配線837と、配線の下のゲートコンタクト853と、N1の下のゲートストラップ851とが示されている。同様に、平面図Bが透明であることにより、相互接続829と、N1の下のゲートコンタクト855とが示されている。
本明細書で使用される用語は、特定の実施形態を説明するためのみのものであり、本発明を限定することを意図したものではない。本明細書で使用される場合、単数形の「a」、「an」及び「the」は、文脈上明らかに別段の指定のない限り、複数形も含むことが意図されている。更に、本明細書で使用される場合、「含む」及び/又は「含んでいる」という用語は、記載されたフィーチャ、整数、ステップ、動作、要素及び/又は構成要素の存在を指定するものであるが、1つ又は複数のフィーチャ、整数、ステップ、動作、要素、構成要素及び/又はそれらの群の存在又は追加を排除するものではないことを理解されたい。
以下の特許請求の範囲に記載のすべてのミーンズプラスファンクション又はステッププラスファンクション要素の対応する構造、材料、動作及び均等物は、具体的に特許請求の範囲に記載された他の特許請求される要素と組み合わせて機能を実行するための任意の構造、材料又は動作を含むことが意図されている。本発明の説明は、例示及び説明のために提示されたものであり、開示された形態において本発明に対して網羅的であること又は限定することを意図したものではない。本発明の範囲及び趣旨から逸脱することなく、多くの修正形態及び変形形態が当業者に明らかであろう。上記の各実施形態は、本発明の原理及びその実際の応用を最もよく説明するために、且つまた企図される特定の用途に適した様々な修正を加えた様々な実施形態について他の当業者に本発明が理解され得るように選択及び説明された。
上記の説明は、本発明の概念の可能な実装形態を例示することを意図したものであり、限定することを意図したものではない。本開示を検討すれば、多くの変形形態、修正形態及び代替形態が当業者に明らかになるはずである。例えば、図示及び説明された構成要素と均等な構成要素を置き換えることができ、したがって個別に説明した要素及び方法を組み合わせることができ、別々に説明された要素を多くの構成要素にわたって分散させることができる。したがって、本発明の範囲は、上記の説明を参照することによるのではなく、添付の特許請求の範囲及びその均等な範囲全部を参照することにより決定されるべきである。
本明細書全体を通して、「一実施形態」又は「ある実施形態」とは、実施形態との関連で記述された特定のフィーチャ、構造、材料又は特性が少なくとも1つの実施形態に含まれることを意味するが、必ずしもすべての実施形態に存在すると示すものではない。したがって、本明細書全体の様々な箇所における語句「一実施形態において」の出現は、必ずしも同一の実施形態を指すわけではない。更に、特定のフィーチャ、構造、材料又は特性は、1つ又は複数の実施形態において任意の適切な方法で組み合わされ得る。

Claims (22)

  1. 基板表面を有する基板と、
    前記基板内に設けられたパワーレールと、
    前記基板内に設けられ、且つ前記基板表面に略垂直な前記基板の厚さ方向に沿って前記パワーレールの上に配置された第1の半導体デバイスであって、第1のゲートと、該第1のゲートの両側に設けられたソース-ドレイン領域の第1の対とを有する第1の半導体デバイスと、
    前記基板内に設けられ、且つ前記厚さ方向に沿って前記第1の半導体デバイスの上に積層された第2の半導体デバイスであって、第2のゲートと、該第2のゲートの両側に設けられたソース-ドレイン領域の第2の対とを有し、前記第1のゲートは、該第2のゲートから物理的に分離される、第2の半導体デバイスと、
    前記第1のゲートが前記第2のゲートに電気的に接続されるように、前記第1のゲートから前記第2のゲートまで延びる導電性ゲートツーゲートストラップ接続と、
    を含む3次元(3D)集積回路(IC)。
  2. 前記導電性ゲートツーゲートストラップは、前記第1のゲートから前記第2のゲートまで延びる少なくとも1つの垂直コンタクトを含む、請求項1に記載の3D IC。
  3. 前記第1のゲートと前記第2のゲートとは、同一直線上に積層される、請求項2に記載の3D IC。
  4. 前記ゲートツーゲートストラップは、互いに物理的に分離される複数の前記垂直コンタクトを含む、請求項3に記載の3D IC。
  5. 前記第1のゲートと前記第2のゲートとは、スタガード配置で積層される、請求項1に記載の3D IC。
  6. 前記第1のゲートに接続された第1のゲートコンタクトと、
    前記第2のゲートに接続された第2のゲートコンタクトであって、当該第2のゲートコンタクトと比べて前記第1のゲートコンタクトの方が大きい垂直高さを有する、第2のゲートコンタクトと、
    を更に含む請求項5に記載の3D IC。
  7. 前記基板内に設けられ、且つ前記厚さ方向に沿って前記第2の半導体デバイスの上に配置された配線層を更に含み、前記第1及び第2のゲートコンタクトは各々、垂直に延びて前記配線層と接続する、請求項6に記載の3D IC。
  8. 前記第1の半導体デバイスのソース-ドレイン領域を前記第2の半導体デバイスのソース-ドレイン領域と接続する統合エピタキシャル構造、を更に含む請求項1に記載の3D IC。
  9. 前記統合エピタキシャル構造は、前記3D ICからの共通出力ピンを提供するように構成される、請求項8に記載の3D IC。
  10. 前記パワーレールから垂直に延びるパワーウォールを更に含む請求項1に記載の3D IC。
  11. 3次元(3D)集積回路(IC)であって、
    基板表面を有する基板と、
    前記基板の厚さ方向に沿って積層された半導体デバイスの第1のスタックと、
    前記基板の前記厚さ方向に沿って積層され、且つ前記基板表面に沿った方向に前記第1のスタックに隣接して設けられた半導体デバイスの第2のスタックであって、前記第1及び第2のスタックの各半導体デバイスは、それぞれのゲートと、該それぞれのゲートの両側に設けられたソース-ドレイン領域の対とを含み、且つ前記第1及び第2のスタックの各ゲートは、スプリットゲートである、半導体デバイスの第2のスタックと、
    前記半導体デバイスのうちの第1の半導体デバイスの第1のスプリットゲートに物理的に接続されたゲートコンタクトであって、当該3D IC内で前記半導体デバイスのうちの前記第1の半導体デバイスを前記半導体デバイスのうちの第2の半導体デバイスに電気的に接続するローカル相互接続構造の少なくとも一部を形成するゲートコンタクトと、
    を含む3次元(3D)集積回路(IC)。
  12. 前記第1及び第2の半導体デバイスは、前記半導体デバイスの第1のスタック内で順次積層されている、請求項11に記載の3D IC。
  13. 前記ゲートコンタクトは、前記第1の半導体デバイスと前記第2の半導体デバイスとが電気的に接続されるように、前記第1のスプリットゲートから前記第2の半導体デバイスの第2のスプリットゲートまで垂直に延びるゲートツーゲートストラップである、請求項12に記載の3D IC。
  14. 前記厚さ方向に前記半導体デバイスの第1及び第2のスタックの上に設けられた配線層と、
    前記第2のスプリットゲートから垂直に延びて、前記第1及び第2の半導体デバイスへの共通入力を提供する垂直コンタクトと、
    を更に含む請求項13に記載の3D IC。
  15. 前記第1のスプリットゲートは、前記第2の半導体デバイスの第2のスプリットゲートに対してスタガード配置されている、請求項12に記載の3D IC。
  16. 前記厚さ方向に前記半導体デバイスの第1及び第2のスタックの上に設けられた配線層と、
    前記第1のスプリットゲートから前記配線層まで垂直に延びる第1の垂直コンタクトと、
    前記第2のスプリットゲートから前記配線層まで垂直に延びる第2の垂直コンタクトであって、当該第2の垂直コンタクトよりも前記第1の垂直コンタクトの方が大きい高さを有する、第2の垂直コンタクトと、
    を更に含む、請求項15に記載の3D IC。
  17. 前記第1及び第2の半導体デバイスは、それぞれ、前記第1及び第2のスタック内に設けられている、請求項11に記載の3D IC。
  18. 前記ローカル相互接続構造は、前記第1のスプリットゲートを前記半導体デバイスの第2のスタック内の第2のスプリットゲートに電気的に接続する、請求項17に記載の3D IC。
  19. 前記ゲートコンタクトは、前記基板表面に沿って前記第1のスタックから前記第2のスタックまで延びる水平相互接続構造を含む、請求項18に記載の3D IC。
  20. 前記ローカル相互接続構造は、前記第1のスプリットゲートを前記第2のスタック内の半導体デバイスのソース-ドレイン領域に電気的に接続する、請求項18に記載の3D IC。
  21. 前記ゲートコンタクトは、前記基板表面に沿って前記第1のスタックから前記第2のスタックまで延びる水平相互接続構造を含む、請求項20に記載の3D IC。
  22. 前記ソース-ドレイン領域から、前記基板表面に沿った前記方向に延びるローカル相互接続タブを更に含み、前記第1のスプリットゲートから当該ローカル相互接続タブまで垂直コンタクトが垂直に延びている、請求項21に記載の3D IC。
JP2021564305A 2019-05-31 2020-05-22 複合ロジックセルのための小型3d積層cfetアーキテクチャ Pending JP2022534858A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962855374P 2019-05-31 2019-05-31
US62/855,374 2019-05-31
US16/849,630 US11437376B2 (en) 2019-05-31 2020-04-15 Compact 3D stacked-CFET architecture for complex logic cells
US16/849,630 2020-04-15
PCT/US2020/034134 WO2020242909A1 (en) 2019-05-31 2020-05-22 Compact 3d stacked cfet architecture for complex logic cells

Publications (2)

Publication Number Publication Date
JP2022534858A true JP2022534858A (ja) 2022-08-04
JPWO2020242909A5 JPWO2020242909A5 (ja) 2023-05-24

Family

ID=73550387

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021564305A Pending JP2022534858A (ja) 2019-05-31 2020-05-22 複合ロジックセルのための小型3d積層cfetアーキテクチャ

Country Status (6)

Country Link
US (1) US11437376B2 (ja)
JP (1) JP2022534858A (ja)
KR (1) KR20220003516A (ja)
CN (1) CN113875007A (ja)
TW (1) TW202114115A (ja)
WO (1) WO2020242909A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11469321B2 (en) * 2020-02-27 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11550985B2 (en) 2020-04-09 2023-01-10 Tokyo Electron Limited Method for automated standard cell design
US11714945B2 (en) 2020-04-09 2023-08-01 Tokyo Electron Limited Method for automated standard cell design
US11961802B2 (en) 2020-12-04 2024-04-16 Tokyo Electron Limited Power-tap pass-through to connect a buried power rail to front-side power distribution network
US20220230961A1 (en) * 2021-01-18 2022-07-21 Samsung Electronics Co., Ltd. Stacked semiconductor device architecture and method of manufacturing the same
US20230142226A1 (en) * 2021-11-10 2023-05-11 International Business Machines Corporation Gate-cut and separation techniques for enabling independent gate control of stacked transistors
US11894436B2 (en) * 2021-12-06 2024-02-06 International Business Machines Corporation Gate-all-around monolithic stacked field effect transistors having multiple threshold voltages
KR20230108200A (ko) 2022-01-10 2023-07-18 엘지전자 주식회사 무선 통신 시스템에서 신호 송수신 방법 및 장치
US20230307364A1 (en) * 2022-03-28 2023-09-28 Samsung Electronics Co., Ltd. Stacked device with backside power distribution network and method of manufacturing the same

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7247528B2 (en) * 2004-02-24 2007-07-24 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor integrated circuits using selective epitaxial growth and partial planarization techniques
US8314001B2 (en) 2010-04-09 2012-11-20 International Business Machines Corporation Vertical stacking of field effect transistor structures for logic gates
WO2014141485A1 (ja) * 2013-03-15 2014-09-18 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Sgtを有する半導体装置の製造方法
WO2015068226A1 (ja) * 2013-11-06 2015-05-14 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Sgtを有する半導体装置と、その製造方法
WO2016009473A1 (ja) * 2014-07-14 2016-01-21 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置の製造方法、及び、半導体装置
JP6065190B2 (ja) * 2014-09-05 2017-01-25 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置
US9691695B2 (en) * 2015-08-31 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Monolithic 3D integration inter-tier vias insertion scheme and associated layout structure
US9570395B1 (en) * 2015-11-17 2017-02-14 Samsung Electronics Co., Ltd. Semiconductor device having buried power rail
US9646989B1 (en) 2015-11-18 2017-05-09 Kabushiki Kaisha Toshiba Three-dimensional memory device
KR101855846B1 (ko) 2015-12-29 2018-05-09 포항공과대학교 산학협력단 수직적층구조의 3차원 정적램 코어 셀 및 그를 포함하는 정적램 코어 셀 어셈블리
US10199409B2 (en) 2016-09-26 2019-02-05 Stmicroelectronics (Crolles 2) Sas Trench between stacked semiconductor substrates making contact with source-drain region
US9941200B1 (en) 2016-09-26 2018-04-10 Stmicroelectronics (Crolles 2) Sas Contact trench between stacked semiconductor substrates
US10084081B2 (en) * 2017-01-23 2018-09-25 International Business Machines Corporation Vertical transistor with enhanced drive current
US10109646B1 (en) 2017-06-05 2018-10-23 Qualcomm Incorporated Selectively recessing trench isolation in three-dimensional (3D) transistors to vary channel structure exposures from trench isolation to control drive strength
US10192867B1 (en) * 2018-02-05 2019-01-29 Globalfoundries Inc. Complementary FETs with wrap around contacts and method of forming same
KR20180058673A (ko) 2018-04-24 2018-06-01 포항공과대학교 산학협력단 수직적층구조의 3차원 정적램 코어 셀 및 그를 포함하는 정적램 코어 셀 어셈블리
CN112585752B (zh) * 2018-09-05 2023-09-19 东京毅力科创株式会社 3d逻辑和存储器的配电网络
US10811415B2 (en) * 2018-10-25 2020-10-20 Samsung Electronics Co., Ltd. Semiconductor device and method for making the same
WO2020092361A1 (en) * 2018-10-29 2020-05-07 Tokyo Electron Limited Architecture for monolithic 3d integration of semiconductor devices
KR20210010748A (ko) * 2019-07-19 2021-01-28 삼성전자주식회사 3차원 반도체 장치
US11195794B2 (en) * 2020-02-05 2021-12-07 Samsung Electronics Co., Ltd. Stacked integrated circuit devices including a routing wire

Also Published As

Publication number Publication date
CN113875007A (zh) 2021-12-31
TW202114115A (zh) 2021-04-01
WO2020242909A1 (en) 2020-12-03
US20200381430A1 (en) 2020-12-03
US11437376B2 (en) 2022-09-06
KR20220003516A (ko) 2022-01-10

Similar Documents

Publication Publication Date Title
JP2022534858A (ja) 複合ロジックセルのための小型3d積層cfetアーキテクチャ
JP7426547B2 (ja) 半導体素子のモノリシック3d集積を行うためのアーキテクチャ
TWI825166B (zh) 用於製造單晶片積體式 3d cmos 邏輯及記憶體的架構設計及製程
TWI813762B (zh) 用於三維邏輯及記憶體的配電網
JP2022553678A (ja) 埋設電源レールを有するcfetのための電力供給ネットワーク
US11223344B2 (en) Scan flip-flop, flip-flop and scan test circuit including the same
TW202236592A (zh) 用以將埋入式電力軌連接至前側電力分配網路的電力連接器直通道
US20220181318A1 (en) Interdigitated device stack
CN110326099B (zh) 半导体集成电路装置
TW202121650A (zh) 針對高效率3d集成相關應用資料的高度規則邏輯設計
US11677401B2 (en) 3D integrated count
US12002862B2 (en) Inter-level handshake for dense 3D logic integration
US12014984B2 (en) Method of manufacturing a semiconductor apparatus having stacked devices

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230516

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230516