JP5826716B2 - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
JP5826716B2
JP5826716B2 JP2012138103A JP2012138103A JP5826716B2 JP 5826716 B2 JP5826716 B2 JP 5826716B2 JP 2012138103 A JP2012138103 A JP 2012138103A JP 2012138103 A JP2012138103 A JP 2012138103A JP 5826716 B2 JP5826716 B2 JP 5826716B2
Authority
JP
Japan
Prior art keywords
layer
semiconductor device
metal electrode
forming
complementary
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012138103A
Other languages
English (en)
Other versions
JP2014003184A (ja
Inventor
池田 圭司
圭司 池田
手塚 勉
勉 手塚
雄一 上牟田
雄一 上牟田
喜代恵 古瀬
喜代恵 古瀬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2012138103A priority Critical patent/JP5826716B2/ja
Priority to PCT/JP2013/055527 priority patent/WO2013190863A1/ja
Priority to TW102108627A priority patent/TWI525795B/zh
Publication of JP2014003184A publication Critical patent/JP2014003184A/ja
Priority to US14/577,209 priority patent/US9721951B2/en
Application granted granted Critical
Publication of JP5826716B2 publication Critical patent/JP5826716B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明の実施形態は、Geチャネルを用いた半導体装置及びその製造方法に関する。
半導体集積回路の高性能化、低消費電力化において、配線長短縮による寄生容量及び寄生抵抗の低減のために、トランジスタの3次元積層が有効である。3次元化は既に、Siチップを積み上げてTSV(Through Silicon Via)で接続する技術が開発中であるが、TSVサイズが通常のCMOSプロセスの配線間隔に比べ2ケタ以上大きいため、接続密度を上げて配線の効率化をはかるのは限界がある。また、TSVのためのエリアペナルティーが無視できない程度に大きいため、回路設計に支障を来たしたり、コストの増大を招いたりする。このため、より高密度に配線接続が可能な技術が求められる。
TSVによるエリアペナルティの問題を解決する手法の一つとして、SOI基板貼り合せによる3次元積層が提案され、下地CMOSに匹敵する上部トランジスタ性能が得られることが報告されている(例えば、非特許文献1,2参照)。しかし、結晶Si層を貼り合せることによるコスト増大の問題、上層トランジスタのプロセス温度、600度による下地CMOSへの影響は解決されていない。
また、CMOSの配線中間層若しくは最上層にa−Si−TFTによるCMOSを積層する技術が報告されている(例えば、非特許文献3参照)。しかし、a−Si−TFTの性能が通常のSi−CMOSに比べ極端に悪く、適切なしきい値設定が困難なため、駆動電圧が高くなる、或いはリーク電流が高くなる等種々の制限がある。このため、3次元積層化による高性能化、低消費電力化のメリットを十分享受できていないのが現状である。
P. Batude et al., VLSI Technical Digest, (2011) p.158 P. Batude et al., IEDM Technical Digest, (2011) p.151 T. Naito et al., 2010 Symposium on VLSI Technology, Technical Digest Papers, p.219
発明が解決しようとする課題は、CMOS構造を有する下地半導体装置上に、高性能のCMOS回路を有する上層半導体装置を積層することができ、3D−CMOS構造の高性能化及び低消費電力化をはかり得る半導体装置及びその製造方法を提供することである。
実施形態の半導体装置は、半導体基板上に設けられ、且つCMOS回路を含む第1の相補型半導体装置と、前記第1の相補型半導体装置上設けられた金属電極と、前記金属電極上設けられ、互いに分離されたnMOS領域とpMOS領域とを有し且つGeを含む半導体層と、前記半導体層の前記nMOS領域に設けられたnMOSFET前記半導体層の前記pMOS領域に設けられたpMOSFET含む第2の相補型半導体装置と、前記金属電極と前記pMOS領域および前記nMOS領域を含む前記半導体層との間に設けられた仕事関数制御層と、前記半導体層と前記仕事関数制御層との間に設けられた絶縁膜と、を具備したことを特徴とする。
本発明の実施形態によれば、チャネル材料・極性、電極構の選択の組み合わせによって、CMOS構造を有する下地半導体装置上に、高性能のCMOS回路を有する上層半導体装置を積層することができ、3D−CMOS構造の高性能化及び低消費電力化をはかることができる。
第1の実施形態に係わる積層型半導体装置の素子構造を示す断面図。 第1の実施形態の積層型半導体装置をCMOSインバータに適用した例を示す等価回路図。 第1の実施形態の積層型半導体装置をCMOSインバータに適用した例を示すレイアウト図。 第1の実施形態の積層型半導体装置をNAND回路に適用した例を示す等価回路図。 第1の実施形態の積層型半導体装置をNAND回路に適用した例を示すレイアウト図。 GeチャネルのpMOSFET及びnMOSFETのしきい値特性を示す図。 第2の実施形態に係わる積層型半導体装置の製造工程を示す断面図。 第2の実施形態に係わる積層型半導体装置の製造工程を示す断面図。
以下、本発明の実施形態を図面を参照して説明する。
(第1の実施形態)
図1は、第1の実施形態に係わる積層型半導体装置の素子構造を示す断面図である。
Si支持基板110上に下地CMOS回路(第1の相補型半導体装置)100が形成されている。具体的には、基板110上に、ゲート絶縁膜112,ゲート電極113,及びソース/ドレイン領域(図示せず)からなるnMOSFETとpMOSFETを形成し、これらの上に多層の配線層131〜134を形成することによりSi−CMOS回路が作製されている。また、最上層には、配線層131〜134及びビア120〜129と同一プロセスによる金属電極141,142が形成されている。
金属電極141上には、pMOS用の仕事関数制御層211が形成され、金属電極142上には、nMOS用の仕事関数制御層212が形成されている。仕事関数制御層211上には、層間絶縁膜220を介してGeを主成分とする半導体層231が形成されている。この半導体層231に、ゲート絶縁膜241,ゲート電極251,及びメタルS/D261からなるpMOSFETが形成されている。仕事関数制御層212上には、層間絶縁膜220を介してGeを主成分とする半導体層232が形成されている。この半導体層232に、ゲート絶縁膜242,ゲート電極252,及びメタルS/D262からなるnMOSFETが形成されている。即ち、Geをチャネルとする上層CMOS回路(第2の相補型半導体装置)が形成されている。
なお、図中の111は素子分離絶縁膜、114はゲート側壁絶縁膜、150は下層CMOS回路用の層間絶縁膜を示している。また、270は上層CMOS回路用の層間絶縁膜、280は上層CMOS回路用のビア、290は上層CMOS回路用の配線層を示している。
このように本実施形態では、下層がSi−CMOS回路100で、その上部にGeをチャネルとするpMOSFET及びnMOSFETからなる上層Ge−CMOS回路200が層間膜を介して形成されている。そして、ビア配線を介して下層Si−CMOS回路100と上層Ge−CMOS回路200とを電気的に接続して一体化されている。
なお、本実施形態では、最上層とその一つ下の層との間にGe−CMOS回路200である、所謂 BEOL Tr.(Back End Of Line Transistor)を実装する構成を取っているが、必ずしも BEOL Tr. の実装位置はこの層に限らず、中間層1層目(例えば、配線131)を下地電極とし、1層目と2層目の間に実装する場合を最下層として、これ以上の何れの層にも実装可能である。
図2及び図3は、本実施形態の BEOL Tr. によりインバータを構成したCMOS回路を説明するためのもので、図2は等価回路図、図3はレイアウト図である。pMOSFET,nMOSFETを構成する各回路ブロックには、下層配線層で形成された金属電極141,142が配置されている。そして、信号線とは独立に用意したバックゲートバイアス電源によって、金属電極141,142にVbgp 及びVbgn を独立に印加することが可能となっている。
図4及び図5は、本実施形態の BEOL Tr. によりNAND回路を構成したCMOS回路を説明するためのもので、図4は等価回路図、図5はレイアウト図である。図2及び図3の例と同様に、pMOSFET,nMOSFETを構成する各回路ブロックに下層配線層で形成された金属電極141,142が配置され、バックゲートバイアス電源によってVbgp 及びVbgn を印加することが可能となっている。このため、ブースト(Boost)、スリープ(Sleep)両方向にnMOSFET,pMOSFET独立にしきい値電圧の制御が可能となることから、回路の演算頻度、要求速度に合わせたアダプティブなしきい値電圧設定が可能な構成を実現することができる。
図6は、GeチャネルによるpMOSFET及びnMOSFETのしきい値特性を示す図であり、図中の実線は制御無しの場合、破線はバックゲート電圧の調整によるブースト状態(Vbgn>0,Vbgp<0)の場合、点線はバックゲート電圧の調整によるスリープ状態(Vbgn≦0,Vbgp≧0)の場合である。ブースト状態では、オン電流が増大し、高速動作に適している。また、スリープ状態では、オフ電流が低減し、低消費電力動作が可能となる。
このようにバックゲート電圧を独立に制御することにより、Geチャネルを用いたpMOSFET及びnMOSFETのしきい値をシフトさせることができ、Ge−CMOS回路の性能を装置に要求される特性に合わせることができる。
本実施形態では、配線中間層若しくは最上層に形成する BEOL Tr. はnMOSFET,pMOSFET共にa−Siよりも高い移動度を持ち、電流駆動力の増大が期待されるポリGeチャネルにより構成される。各トランジスタは配線層と同時形成される金属電極(Grand plane:GP)141,142上に仕事関数制御層211,212及び層間絶縁膜220を介して形成される。そして、上記GPにnMOSFET,pMOSFETの各々に対して、下地Si−CMOS回路100である、所謂 FEOL Tr.(Front End Of Line Transistor) 及び BEOL Tr. の信号線とは独立な電源線による電圧制御を行うことで、動的しきい値制御を行うことができる。BEOL Tr. は、nMOSFET,pMOSFET共に空乏型動作、反転型動作どちらでも構わない。GP上に堆積する仕事関数制御層211,212により、回路要求に合わせたしきい値設定を行うことができる。
従来構造では、配線中間層若しくは最上層に形成する BEOL Tr. の性能とプロセスコストが二律背反で両者を同時に満たすことができない。これに対して本実施形態では、下地CMOS回路(FEOL Tr.)を劣化させないプロセス温度において、適切なしきい値、電流駆動力を有する BEOL Tr. を形成することができる。
このように本実施形態によれば、上層CMOS回路200としてGeチャネルを用いることで、プロセス温度の低温化と、Siより高い移動度による高性能化(低電圧化)が可能となる。また、配線工程とバックゲート(Grand plane)形成工程をマージできるため、コストの低減が可能となる。さらに、金属電極141,142でバックゲートを構成することができ、しかもnMOSFET,pMOSFET共通で形成可能であることから、GPを半導体へのイオン注入によって形成する従来法で問題となるゲート空乏化の問題も回避できる。
また、GP上に堆積する仕事関数制御層211,212により、適切なしきい値設定が可能なことから、スタンバイ時のオフ電流を低減することで低消費電力化が可能となる。さらに、上記のしきい値設定下においても、バックゲート(GP)電圧をブースト側にバイアスすることで、高速動作が必要な時のみ、しきい値設定を下げるといったアダプティブな電力制御を実現することが可能となり、3D−CMOSの高性能化・低消費電力化・低コスト化に寄与する。また、ソース/ドレインをNiGe合金としているので、ソース/ドレインの低抵抗化をはかり得ると云う利点もある。
以上のように、本構成のチャネル材料・極性、電極構造の選択の組み合わせによって、背景技術の問題点が総合的に解決され、3次元CMOSの高性能化・低消費電力化・低コスト化に寄与することができる。
(第2の実施形態)
次に、図1の積層型半導体装置の製造方法を、図7及び図8を参照して説明する。なお、図1と同一部分には同一符号を付して、その詳しい説明は省略する。
まず、図7(a)に示すように、Si支持基板11上に周知のプロセスによりpMOSFET及びnMOSFETを形成し、これらを配線層131〜134及びビア120〜129で接続することによりSi−CMOS回路(第1の相補型半導体装置)100を形成する。このとき、最上層にpMOS用の金属電極141とnMOS用の金属電極142を、配線層131〜134と同じプロセスで形成する。また、金属電極141,142とは別に、Si−CMOS回路100の最上面に、Si−CMOS回路100のトランジスタと電気的に接続されるビア129を露出させる。
金属電極141,142の具体的形成法としては、所謂ダマシンプロセスを用いることができる。例えば、層間絶縁膜150の表面に BEOL Tr. のバックゲートのパターンに対応する溝をそれぞれ設けた後に、全面に金属材料を堆積する。そして、CMPで表面を平坦化することにより、溝内のみに金属電極141,142を形成する。ここで、金属膜の形成前に溝内にバリアメタルを形成しても良い。他の配線層131〜134に関しても、層間絶縁膜150と接する部分にバリアメタルを形成しても良い。さらに、ビア120〜129に関しても、下地との界面に必要に応じてバリアメタルを形成しても良い。
次いで、図7(b)に示すように、通常のバリアメタルの替わりに、通常のリソグラフィ工程を用いたn,p作り分けプロセスによって、pMOS領域にpMOSFET用仕事関数制御層211を形成し、nMOS領域にnMOSFET用仕事関数制御層212を形成する。具体的には、金属電極141上にTiN膜(仕事関数制御層)211をスパッタで形成し、金属電極142上にHfO2 /TiN膜(仕事関数制御層)212をスパッタで形成する。
次いで、図7(c)に示すように、仕事関数制御層211,212上に層間絶縁膜220を10nm堆積した後に、上層CMOS回路のチャネルとなる厚さ30nmのa−Ge層230をCVD若しくはスパッタにて形成する。
次いで、図8(d)に示すように、pMOS領域とnMOS領域に合わせてアクティブパターンをメサエッチングによって島状に素子分離した後、500℃以下の低温アニールによってa−Geを多結晶化し、ポリGe層231,232を形成する。即ち、pMOSFET用のバックゲートとなる金属電極141上に仕事関数制御層211及び層間絶縁膜220を介してポリGe層231を形成し、nMOSFET用のバックゲートとなる金属電極142上に仕事関数制御層212及び層間絶縁膜220を介してポリGe層232を形成する。
ここで、a−Ge層230の下地に金属電極141,142が存在するため、a−Ge層230をアニールする際に熱が逃げ易くなり、これにより結晶化が促進されて結晶粒の増大化をはかることができる。また、アニール後のGe層231,232は多結晶であるが、素子が微細化されてチャネル長がグレインサイズに近くなると、多結晶であっても実質的に単結晶と同じにように見なすことが可能となる。
次いで、図8(e)に示すように、Ge層231,232上に、ゲート絶縁膜として250℃のALD成膜によるAl23 膜(4nm)若しくはPECVDによるSiO2 (5nm)を堆積し、その上にTaN膜(30nm)をスパッタ成膜によって堆積し、通常リソグラフィ工程によるゲートパターニングとエッチングによってゲート電極を形成する。即ち、pMOS領域にゲート絶縁膜241を介してゲート電極251を形成し、nMOS領域にゲート絶縁膜242を介してゲート電極252を形成する。この際、ゲート電極251,252の両脇を酸化して側壁絶縁膜を形成しても良い。
次いで、図8(f)に示すように、pMOSFETのソース/ドレインには、Ni堆積と低温アニール(<350℃)により形成したNiGe合金からなるメタルS/D261を形成する。nMOSFETでも同様にNiGe合金を形成するが、nMOSFETではNi堆積前にS(硫黄)不純物注入を行い、NiGe形成時にNiGe/Ge界面に高濃度硫黄偏析領域を形成した構成のメタルS/D262を形成する。Sを偏析させることにより、メタルS/D層(NiGe)262とGe層232とのショットキー障壁を制御し、寄生抵抗を下げて駆動電流を大きくすることができる。その後、未反応のNi膜を希塩酸等で除去する。
次いで、図8(g)に示すように、全面に層間絶縁膜270を形成した後、バックゲートとなる金属電極141,142、及びソース/ドレイン領域251,252の各々に接続するためのコンタクトホールを形成する。続いて、コンタクトホール内にCu等の導電材料を埋め込んだ後にCMPで平坦化する。そして、Al配線層290を形成してCMOS回路を形成することにより、前記図1に示す構造が完成することになる。さらに、必要に応じてパッシベーション膜を形成する。
なお、配線層290に関しても、金属電極141,142や他の配線層131〜134と同様に、ダマシンプロセスで形成しても良い。即ち、図8(g)の工程でコンタクトホールと共に配線溝を設けておき、配線溝内に金属材料を埋め込むようにしても良い。
このように本実施形態によれば、上層CMOS回路200において、pMOS,nMOS共にa−Siよりも移動度の高いポリGe層230をチャネルとして用いるため、より高速なデバイスを作製することができる。しかも、GeはSiによりも低温で形成可能であるため、上層Ge−CMOS回路200を形成する際に下層Si−CMOS回路100に与える影響を少なくすることができる。
また、配線工程とバックゲート(Grand plane)形成工程をマージできるため、コストの低減が可能となる。さらに、図7(c)から図8(e)に示す工程では、a−Ge層230の下地に金属電極141,142が存在するため、a−Ge層230をアニールする際に熱が逃げ易くなり、これにより結晶化が促進されて結晶粒の増大をはかり得る利点もある。
(変形例)
なお、本発明は上述した各実施形態に限定されるものではない。
第2の相補型半導体装置の形成基板は必ずしもGe層に限るものではなく、Geを主成分とするものであればよい。さらに、第1の相補型半導体装置の形成基板は必ずしもSi基板に限るものではなく、Ge基板を用いることもでき、更に化合物半導体を用いることも可能である。
実施形態では、第2の相補型半導体装置のGeチャネルのMOSFET構造としてソース/ドレインをNiGeで形成したが、これに限らず他の金属、例えばCo,Pd,PtとGeとの合金を用いることができる。また、ソース/ドレインは必ずしも合金に限らず、不純物ドープによる拡散層としても良い。さらに、GeチャネルのMOSFETは、ソースドレイン(S/D)とチャネルの間にpn接合のない、所謂ジャンクションレスのトランジスタ構造としても良い。
また、GeチャネルのnMOSFETでは、合金層とチャネルとの間に偏析される元素としてSを用いたが、Se,Te等のカルコゲン元素を用いることも可能である。さらに、カルコゲン元素(S,Se,Te)の組み合わせをドープするようにしても良い。また、Geチャネルに関して、n型及びp型に適した不純物をドープすることも可能である。
本発明の幾つかの実施形態を説明したが、これらの実施形態は、例として提示したものであり、発明の範囲を限定することは意図していない。これら実施形態は、その他の様々な形態で実施されることが可能であり、発明の要旨を逸脱しない範囲で、種々の省略、置き換え、変更を行うことができる。これら実施形態やその変形は、発明の範囲や要旨に含まれると同様に、特許請求の範囲に記載された発明とその均等の範囲に含まれるものである。
100…Si−CMOS回路(第1の相補型半導体装置)
110…Si支持基板
111…素子分離絶縁膜
112…ゲート絶縁膜
113…ゲート電極
114…ゲート側壁絶縁膜
120〜129…ビア
131〜134…配線層
141,142…金属電極(バックゲート電極)
150…層間絶縁膜
200…Ge−CMOS回路(第2の相補型半導体装置)
211,212…仕事関数制御層
220…層間絶縁膜
230…a−Ge層
231,232…ポリGe層
241,242…ゲート絶縁膜
251,252…ゲート電極
261,262…メタルS/D
270…層間絶縁膜
280…ビア
290…配線層

Claims (11)

  1. 半導体基板上に設けられ、且つCMOS回路を含む第1の相補型半導体装置と、
    前記第1の相補型半導体装置上設けられた金属電極と、
    前記金属電極上設けられ、互いに分離されたnMOS領域とpMOS領域とを有し且つGeを含む半導体層と、
    前記半導体層の前記nMOS領域に設けられたnMOSFET前記半導体層の前記pMOS領域に設けられたpMOSFET含む第2の相補型半導体装置と、
    前記金属電極と前記pMOS領域および前記nMOS領域を含む前記半導体層との間に設けられた仕事関数制御層と、
    前記半導体層と前記仕事関数制御層との間に設けられた絶縁膜と、
    を具備したことを特徴とする半導体装置。
  2. 前記金属電極は、前記nMOS領域下方の第1部分と、前記第1部分と分離した前記pMOS領域下方の第2部分とを含むことを特徴とする請求項1記載の半導体装置。
  3. 前記金属電極の前記第1部分に接続された第1信号線と、
    前記第1信号線とは独立して、前記金属電極の前記第2部分に接続された第2信号線と、
    をさらに具備することを特徴とする請求項2記載の半導体装置。
  4. 前記金属電極の前記第1部分および前記金属電極の前記第2部分に接続された共通の信号線をさらに具備することを特徴とする請求項2記載の半導体装置。
  5. 前記仕事関数制御層はTiNを含むことを特徴とする請求項記載の半導体装置。
  6. 前記金属電極は前記第1の相補型半導体装置上方の複数の配線層のうちの最上層に含まれ前記第2の相補型半導体装置は前記第1の相補型半導体装置と電気的に接続されていることを特徴とする請求項1〜の何れかに記載の半導体装置。
  7. 前記金属電極は前記第1の相補型半導体装置上方の複数の配線層のうちの中間層に含まれ前記第2の相補型半導体装置は前記第1の相補型半導体装置と電気的に接続されていることを特徴とする請求項1〜の何れかに記載の半導体装置。
  8. 前記第1の相補型半導体装置はSi基板上に設けられることを特徴とする請求項1〜の何れかに記載の半導体装置。
  9. 半導体基板上に、CMOS回路及び配線層を有する第1の相補型半導体装置を形成すると共に、前記配線層の最上層に金属電極を形成する工程と、
    前記金属電極上に仕事関数制御層を形成する工程と、
    前記仕事関数制御層上に絶縁膜を形成する工程と、
    前記絶縁膜にGeを含む半導体層を形成する工程と、
    前記半導体層をnMOS領域を含む第1部分とpMOS領域を含む第2部分に分離する工程と、
    前記第1部分にnMOSFETを形成し、前記第2部分にpMOSFETを形成することにより、第2の相補型半導体装置を形成する工程と、
    を含むことを特徴とする半導体装置の製造方法。
  10. 前記半導体層を形成する工程として、前記絶縁膜にアモルファスのGe層を形成した後、前記Ge層をアニール処理することにより多結晶のGe層を形成することを特徴とする請求項記載の半導体装置の製造方法。
  11. 半導体基板上に設けられ、且つCMOS回路を含む第1の相補型半導体装置と、
    前記第1の相補型半導体装置上方に設けられた金属電極と、
    前記金属電極上方に設けられ、互いに分離されたnMOS領域とpMOS領域とを有し、且つGeを含む半導体層と、
    前記半導体層の前記nMOS領域に設けられたnMOSFETと、前記半導体層の前記pMOS領域に設けられたpMOSFETとを含む第2の相補型半導体装置と、
    前記金属電極と前記pMOS領域および前記nMOS領域を含む前記半導体層との間に設けられ、TiNを含む第1の層と、
    前記半導体層と前記第1の層との間に設けられた絶縁膜と、
    を具備したことを特徴とする半導体装置。
JP2012138103A 2012-06-19 2012-06-19 半導体装置及びその製造方法 Active JP5826716B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2012138103A JP5826716B2 (ja) 2012-06-19 2012-06-19 半導体装置及びその製造方法
PCT/JP2013/055527 WO2013190863A1 (ja) 2012-06-19 2013-02-28 積層型半導体装置及びその製造方法
TW102108627A TWI525795B (zh) 2012-06-19 2013-03-12 Laminated semiconductor device and manufacturing method thereof
US14/577,209 US9721951B2 (en) 2012-06-19 2014-12-19 Semiconductor device using Ge channel and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012138103A JP5826716B2 (ja) 2012-06-19 2012-06-19 半導体装置及びその製造方法

Publications (2)

Publication Number Publication Date
JP2014003184A JP2014003184A (ja) 2014-01-09
JP5826716B2 true JP5826716B2 (ja) 2015-12-02

Family

ID=49768473

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012138103A Active JP5826716B2 (ja) 2012-06-19 2012-06-19 半導体装置及びその製造方法

Country Status (4)

Country Link
US (1) US9721951B2 (ja)
JP (1) JP5826716B2 (ja)
TW (1) TWI525795B (ja)
WO (1) WO2013190863A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102325158B1 (ko) * 2014-01-30 2021-11-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치, 전자 기기, 및 반도체 장치의 제작 방법
US9330967B2 (en) * 2014-05-16 2016-05-03 Taiwan Semiconductor Manufacturing Company Limited Method of fabricating a semiconductor device with reduced leak paths
US9287257B2 (en) 2014-05-30 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Power gating for three dimensional integrated circuits (3DIC)
US20180240797A1 (en) * 2015-09-01 2018-08-23 Sony Corporation Stacked body
FR3064396B1 (fr) * 2017-03-27 2019-04-19 Commissariat A L'energie Atomique Et Aux Energies Alternatives Cellule memoire sram
US11018134B2 (en) * 2017-09-26 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
FR3073977B1 (fr) * 2017-11-22 2021-12-03 Commissariat Energie Atomique Transistors de circuit 3d a grille retournee
FR3076076B1 (fr) * 2017-12-22 2021-12-17 Commissariat Energie Atomique Assemblage ameliore pour circuit 3d a niveaux de transistors superposes
US20200058646A1 (en) * 2018-08-14 2020-02-20 Intel Corporation Structures and methods for large integrated circuit dies
CN112585752B (zh) 2018-09-05 2023-09-19 东京毅力科创株式会社 3d逻辑和存储器的配电网络
EP3660924A1 (en) * 2018-11-30 2020-06-03 IMEC vzw A pmos low thermal-budget gate stack

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0590517A (ja) * 1991-09-30 1993-04-09 Toshiba Corp 半導体装置及びその製造方法
WO2000016391A1 (en) * 1998-09-14 2000-03-23 Matsushita Electric Industrial Co., Ltd. Method for producing semiconductor device
US20100190334A1 (en) * 2003-06-24 2010-07-29 Sang-Yun Lee Three-dimensional semiconductor structure and method of manufacturing the same
JP2006210828A (ja) * 2005-01-31 2006-08-10 Fujitsu Ltd 半導体装置とその製造方法
US7413939B2 (en) * 2005-06-10 2008-08-19 Sharp Laboratories Of America, Inc. Method of growing a germanium epitaxial film on insulator for use in fabrication of CMOS integrated circuit
US7378309B2 (en) * 2006-03-15 2008-05-27 Sharp Laboratories Of America, Inc. Method of fabricating local interconnects on a silicon-germanium 3D CMOS
JP5007250B2 (ja) 2008-02-14 2012-08-22 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5781720B2 (ja) * 2008-12-15 2015-09-24 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
KR102046308B1 (ko) 2009-12-11 2019-11-19 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
JP2011151134A (ja) * 2010-01-20 2011-08-04 Renesas Electronics Corp 半導体装置、および、半導体装置の製造方法
FR2961016B1 (fr) * 2010-06-07 2013-06-07 Commissariat Energie Atomique Circuit integre a dispositif de type fet sans jonction et a depletion
JP5705559B2 (ja) * 2010-06-22 2015-04-22 ルネサスエレクトロニクス株式会社 半導体装置、及び、半導体装置の製造方法
TWI608486B (zh) * 2010-09-13 2017-12-11 半導體能源研究所股份有限公司 半導體裝置

Also Published As

Publication number Publication date
US9721951B2 (en) 2017-08-01
JP2014003184A (ja) 2014-01-09
TW201401487A (zh) 2014-01-01
US20150102419A1 (en) 2015-04-16
WO2013190863A1 (ja) 2013-12-27
TWI525795B (zh) 2016-03-11

Similar Documents

Publication Publication Date Title
JP5826716B2 (ja) 半導体装置及びその製造方法
US11062965B2 (en) Flipped vertical field-effect-transistor
US11721701B2 (en) Semiconductor circuit with metal structure and manufacturing method
JP2010056215A (ja) 縦型電界効果トランジスタを備える半導体装置及びその製造方法
WO2013161331A1 (ja) 相補型半導体装置及びその製造方法
TW202201734A (zh) 半導體晶片
US20220359388A1 (en) Semiconductor Device Structure Having a Multi-Layer Conductive Feature and Method Making the Same
US20220367663A1 (en) Interconnect structure having a multi-deck conductive feature and method of forming the same
KR102344126B1 (ko) 후측면 전력 공급 회로를 포함한 반도체 디바이스
CN115084019A (zh) 背面源极/漏极接触件及其形成方法
TW202141715A (zh) 半導體元件與其製作方法
JP2015103531A (ja) 半導体装置
JP6271982B2 (ja) 半導体装置及びその製造方法
JP6125802B2 (ja) 半導体装置
US8823112B2 (en) Semiconductor device having mixedly mounted components with common film layers and method of manufacturing the same
CN118039484A (zh) 堆叠器件结构及其形成方法、形成栅极堆叠件的方法
JP2012142493A (ja) 半導体装置及びその製造方法
JP2010098205A (ja) Mis電界効果トランジスタ及び半導体基板の製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20140521

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20141112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150821

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150915

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151014

R151 Written notification of patent or utility model registration

Ref document number: 5826716

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350