TW202022152A - 硫族化物材料的保形無損傷包覆 - Google Patents

硫族化物材料的保形無損傷包覆 Download PDF

Info

Publication number
TW202022152A
TW202022152A TW108130393A TW108130393A TW202022152A TW 202022152 A TW202022152 A TW 202022152A TW 108130393 A TW108130393 A TW 108130393A TW 108130393 A TW108130393 A TW 108130393A TW 202022152 A TW202022152 A TW 202022152A
Authority
TW
Taiwan
Prior art keywords
silicon nitride
plasma
layer
nitride layer
substrate
Prior art date
Application number
TW108130393A
Other languages
English (en)
Inventor
詹姆士 塞繆爾 希姆斯
安組 約翰 瑪克羅
美華 沈
托爾斯滕 立爾
沙恩 唐
凱瑟琳 美熹德 凱爾克納
約翰 黃
亞歷山大 杜利金
潛丹娜
維克蘭特 雷
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202022152A publication Critical patent/TW202022152A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • H10B63/24Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes of the Ovonic threshold switching type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供在半導體基板上之硫族化物材料上方形成包覆雙層的方法及設備。方法涉及形成雙層,雙層包含利用脈動電漿式電漿增強化學氣相沉積  (PP-PECVD)直接在硫族化物材料沉積的阻障層以及利用電漿增強原子層沉積(PEALD)在阻障層上方沉積的包覆層。在各種實施例中,阻障層係利用無鹵素之矽前驅物所形成,而包覆層係利用含鹵素之矽前驅物與無氫含氮反應物以PEALD所沉積。

Description

硫族化物材料的保形無損傷包覆
本發明係關於在半導體基板上之硫族化物材料上方形成包覆雙層的方法及設備。
半導體裝置製造涉及形成記憶體堆疊,記憶體堆疊通常對於氧化與水氣敏感且可能無法耐受高溫操作或暴露至能量物種。因此,在接續製程之前記憶體堆疊通常受到包覆。然而,沉積包覆層的某些方法可能會損傷製程室的元件、或可能會損傷基板材料。又,某些技術可能無法形成充分薄與密封的膜層。
此處所提供的背景說明係用以大致上說明本發明之背景。在此背景段落中所提及之本發明人的作品以及在申請時不能算作是先前技術的說明並非為本發明人明示或暗示自認之與本發明相對的先前技術。
文中提供半導體基板的製程方法。一態樣涉及包含下列步驟的方法:提供包含硫族化物材料之一或多暴露層的一基板;藉著將該硫族化物材料之一或多暴露層暴露至一經脈動之無鹵素電漿以沉積一第一矽氮化物層;及在沉積該第一矽氮化物層之後,藉由使用一含鹵素含矽前驅物與一含氮反應物之複數交替脈動的原子層沉積在該第一矽氮化物層上沉積一第二矽氮化物層。
在各種實施例中,該第一矽氮化物層係利用脈動電漿式之電漿增強化學氣相沉積所沉積。
在某些實施例中,在沉積該第二矽氮化物層期間之該含氮反應物的該脈動包含有氮氣無氫氣的一脈動與有氫氣無氮氣的一脈動。在各種實施例中,在產生一電漿期間脈動該該含氮反應物。在某些實施例中,在沉積該第二矽氮化物層期間該含氮反應物之該脈動包含有氮電漿無氫的一脈動與有氫電漿無氮的一脈動。
在某些實施例中,在將該第二矽氮化物層沉積至該硫族化物材料之一或多暴露層上方時,該第一矽氮化物層避免該硫族化物材料之一或多暴露層受到損傷。
可在該硫族化物材料之一或多暴露層的一側壁上將該第一矽氮化物層沉積至介於約5Å至約10Å的一厚度。
在各種實施例中,在包含一矽烷前驅物與氨的一環境中點燃該經脈動之無鹵素電漿。例如,可以含氮的一混合物將該矽烷前驅物導入至該矽烷前驅物與氨環境。在某些實施例中,在該混合物中該矽烷前驅物對氮的一比值至少約為30:1。可以含氫的一混合物將氨導入該矽烷前驅物與氨環境。在該混合物中氨對氫的一比值可至少約為30:1。
在各種實施例中,在介於約5%至約20%的一工作週期下脈動該無鹵素電漿。
在某些實施例中,在0W與每片基板介於約150W至約250W的一電漿功率之間脈動該無鹵素電漿。
在某些實施例中,該硫族化物材料之一或多暴露層為一雙向閾值切換裝置的一部分。
該硫族化物材料之一或多暴露層可為一相變裝置的一部分。
在各種實施例中,該方法亦包含,在沉積該第一矽氮化物層之後與沉積該第二矽氮化物層之前,將該第一矽氮化物層暴露至一後處理之電漿以緻密化該第一矽氮化物層。
在某些實施例中,該第一矽氮化物層與該第二矽氮化物層中的至少一者係於低於約250°C的一基板溫度下沉積。
該含氮反應物可為無氫的。在某些實施例中,該含鹵素之含矽前驅物包含碘、溴、或其組合。
在某些實施例中,該方法亦包含,在沉積該第一矽氮化物層之前,蝕刻包含該硫族化物材料之一或多暴露層之該基板,藉此在不破真空的情況下進行該蝕刻與該第一矽氮化物層的該沉積。
在某些實施例中,在不破真空的情況下進行該第一矽氮化物層的該沉積與該第二矽氮化物層的該沉積。
另一態樣涉及一種基板製程方法,該方法包含下列步驟:提供包含硫族化物材料之一或多暴露層的一基板;藉由下列方式在該硫族化物材料上方形成一包覆雙層,該包覆雙層包含具有一第一密度的一第一矽氮化物層與具有一第二密度的一第二矽氮化物層:直接在該硫族化物材料之一或多暴露層上沉積該第一矽氮化物層;及在具有該第一密度的該第一矽氮化物層上方沉積具有該第二密度的該第二矽氮化物層,藉此該第一密度係低於該第二密度且該第一矽氮化物層係位於該硫族化物材料之一或多暴露層與該第二矽氮化物層之間。
在某些實施例中,在將該第二矽氮化物層沉積至該硫族化物材料之一或多暴露層上方時,該第一矽氮化物層避免該硫族化物材料之一或多暴露層受到損傷。
在各種實施例中,在該硫族化物材料之一或多暴露層的一側壁上該第一矽氮化物層係沉積至介於約5Å至約10Å的一厚度。
該第一矽氮化物層可利用脈動電漿式電漿增強化學氣相沉積加以沉積。
在某些實施例中,該第一矽氮化物層係藉著將該硫族化物材料之一或多暴露層暴露至一經脈動之電漿而加以沉積。可在無鹵素之矽烷前驅物與氨的一環境中點燃該經脈動之電漿。
在某些實施例中,該第一密度係低於約2.5 g/cm3
在某些實施例中,該第二密度係高於約2.6 g/cm3
在各種實施例中,該方法亦包含,在沉積該第一矽氮化物層之後與沉積該第二矽氮化物層之前,將該第一矽氮化物層暴露至一後處理之電漿以將該第一矽氮化物層緻密化至介於該第一密度與該第二密度之間的一密度。
在某些實施例中,將該第一矽氮化物層暴露至該後處理之電漿包含在氮與氦存在的情況下點燃該後處理之電漿介於約30秒至約60秒的一段時間。
可在一電漿功率處點燃該後處理之電漿,該電漿功率係大於用以沉積該第一矽氮化物層之一電漿功率。
可在具有一腔室壓力的一製程室中點燃該後處理之電漿,該腔室壓力係低於用以沉積該第一矽氮化物層的一腔室壓力。
在某些實施例中,利用一或多個循環沉積該第二矽氮化物層,每一該循環包含一含矽前驅物的一脈動、有氮電漿無氫的一脈動、及有氫電漿無氮的一脈動。
在某些實施例中,該方法亦包含,在沉積該第一矽氮化物層之前,蝕刻包含該硫族化物材料之一或多暴露層之該基板,藉此在不破真空的情況下進行該蝕刻與該第一矽氮化物層的該沉積。
在某些實施例中,在不破真空的情況下進行該第一矽氮化物層的該沉積與該第二矽氮化物層的該沉積。
另一態樣涉及一種基板製程方法,該方法包含下列步驟:蝕刻包含硫族化物材料之一或多暴露層的一基板;在蝕刻該基板之後,在不破真空的情況下在該硫族化物材料之一或多暴露層上方形成一包覆雙層。
該方法亦可包含在該蝕刻與該包覆雙層之該形成之間清理該基板。
在某些實施例中,在一相同的設備中進行該清理、該蝕刻、及該包覆雙層之該形成。
在某些實施例中,該包覆雙層係於介於約7 Torr至約10 Torr的一腔室壓力下形成。
針對上述之任何實施例,該包覆雙層可在低於250°C的一基板溫度下形成。
在某些實施例中,該基板係被提供至具有一腔室壓力的一製程室中,該腔室壓力係介於約7 Torr 至約10 Torr之間。
另一態樣涉及一種基板製程設備,該設備包含:一蝕刻模組,係用以蝕刻具有硫族化物材料之一或多暴露層的一半導體基板以在該半導體基板上形成具有堆疊形式之複數硫族化物材料的一圖案;一沉積模組,係用以利用脈動電漿式電漿增強化學氣相沉積與電漿增強原子層沉積在該半導體基板上沉積一包覆雙層;及一晶圓傳送工具,用以在不破真空的情況下在該蝕刻模組與該沉積模組之間傳送該半導體基板。
該設備亦包含:一清理模組,係用以清理該半導體基板。在某些實施例中,該設備亦包含:介於該蝕刻模組與該沉積模組之間的一微傳送站。在某些實施例中,該蝕刻模組係配置在不同於該沉積模組之一壓力的一壓力下。
另一態樣涉及一記憶體裝置,其包含:一記憶體堆疊,包含一硫族化物材料;及一第一矽氮化物層,係沉積在該記憶體堆疊上方且包覆該硫族化物材料,該第一矽氮化物層係藉由暴露至在一無鹵素之矽烷與一含氮環境中點燃的一電漿的脈動所沉積;及一第二矽氮化物層,係沉積在該第一矽氮化物層上方,該第二矽氮化物層係藉著利用原子層沉積交替暴露至一含鹵素之矽前驅物與一第二反應物所沉積。
另一態樣涉及一記憶體裝置,其包含:一記憶體堆疊,包含一硫族化物材料;及一第一矽氮化物層,係沉積在該記憶體堆疊上方而包覆該硫族化物材料且在該記憶體堆疊之一側壁上的一厚度係介於約5Å至約10Å之間,該第一矽氮化物層具有一第一密度;及一第二矽氮化物層,係沉積在該第一矽氮化物層上方,該第二矽氮化物層具有一第二密度,該第二密度係大於該第一密度。
在某些實施例中,該硫族化物材料為硫、硒、碲、及其組合中的任一者。在某些實施例中,該第一矽氮化物層係藉由脈動電漿式電漿增強化學氣相沉積所沉積。在某些實施例中,該第二矽氮化物層係藉由電漿增強原子層沉積所沉積。
下面將參考圖示更進一步說明此些與其他態樣。
在下面的敘述中,列舉許多特定細節以提供對本發明實施例的全盤瞭解。然而,可在缺乏一些或全部此些特定細節的情況下實施本發明實施例。在其他情況中,不詳細說明習知之製程操作以免不必要地模糊本發明實施例。雖然利用特定實施例來說明本發明實施例,但應瞭解,其意不在限制本發明實施例。
半導體製造製程通常涉及沉積矽氮化物材料。在一實例中,在半導體裝置製造中可使用矽氮化物作為擴散阻障層、閘極絕緣層、側壁間隔件、及包覆層。保形的矽氮化物層亦可用於其他應用中。例如,在製造記憶體結構期間可使用矽氮化物。某些記憶體結構包含用於位元儲存的金屬氧化物材料。然而,當發展先進記憶體結構以得到更小之裝置尺寸並改善效率時,遇到新的挑戰。先進的記憶體結構如磁阻隨機存取記憶體與相變化隨機存取記憶體 (PCRAM)的位元儲存仰賴新材料(非金屬氧化物)。在某些記憶體裝置中,雙向閥值切換(OTS)硫族化物存在於堆疊上。OTS硫族化物對於各種氣體及電漿敏感。例如,在PCRAM的情況中,金屬硫族化物的相會決定位元狀態。某些例示性的硫族化物包含硫(S)、硒(Se)、及碲(Te)。此些新材料對於空氣與濕氣敏感且可能需要包覆層。當與適當的準金屬離子如鍺(Ge)、硒(Sb)等結合時,此些硫族化物會形成相變層。在某些情況中,記憶體裝置包含鍺銻碲(GST)材料。若受到損傷,相變層可能會無法改變其相。相變層亦對光敏感。為了避免對相變層的任何損傷,可在相變層上方沉積保形的矽氮化物記憶體包覆層。記憶體包覆層具有極少甚至是沒有其他化合物的污染且係於低溫下沉積以避免損傷裝置。相變化記憶體的有效包覆涉及在低溫下沉積高保形性、高密度的矽氮化物,俾使經沉積之矽氮化物薄膜保護敏感的硫族化物材料不受濕氣及/或電漿蝕刻化學品的攻擊。此外,包覆沉積製程及包覆層本身不會與硫族化物材料反應、或產生揮發性副產物、或對下方材料造成組成改變。經蝕刻及清理的硫族化物材料亦對氧敏感,由於經氧化的硫族化物材料亦可失去其欲用作為有效PCRAM的特性。
雖然鹵素系的原子層沉積具有充分反應性而能在低溫如低於250°C的溫度下形成高度保形的矽氮化物且不在OTS材料上形成揮發性的硫族氫化物,但鹵素系的沉積可與GST硫族化物材料反應而形成鈍化層,藉此使某些 GST硫族化物材料退化。
圖1顯示包含氧化物層101的基板100。基板100亦包含鎢層103、碳層105、硫族化物層107、第二碳層115、第二硫族化物層117、第三碳層125、及氮化物層109。
在某些製造製程中,在基板100的蝕刻與清理製程後,可藉由電漿增強原子層沉積在基板100上方沉積矽氮化物包覆層(未顯示)。然而,在某些薄膜成長的初始階段中,鎢層103、碳層105、硫族化物層107、第二碳層115、及第二硫族化物層117的暴露表面可能會受到損傷。例如,針對20個循環的矽氮化物沉積且每一循環中電漿開啟約60秒導致20分鐘的電漿暴露,可沉積5Å之薄膜。在某些情況中,受損傷之硫族化物表面對接續的濕式蝕刻更敏感。雖然可使用某些阻障薄膜(如40Å之矽氮化物薄膜)抑制損傷,在清理後30Å薄膜可導致損傷。一般相信,含氟的蝕刻化學品會蝕刻薄膜厚度也會將氫移除而產生孔隙,導致硫族化物受損的可能性增加。
如圖1中所示,若使用含氯之矽前驅物在基板上沉積包覆層,當在第二反應物時點燃電漿一段足以沉積具有期望厚度之包覆層時所產生的氯及/或氫自由基可能會造成氯化氫的形成,俾使氯與鋁、鍺、或銻、或其他製程室材料金屬(包含鐵或銅)反應。此些材料(如氯化鋁(AlCl3 )、氯化鍺(IV)(GeCl4 )、或三氯化銻(SbCl3 ))可產生蒸發性層,蒸發性層可形成揮發性金屬鹽。此些材料具有低沸點;例如,AlCl3 的沸點為120°C、GeCl4 的沸點為87°C、SbCl3 的沸點為200°C。此些揮發性金屬鹽可藉此沉積在基板的其他膜層上,造成缺陷與效能問題。是以,氯前驅物因製程室蝕刻產生揮發性金屬氯化物(Al、Fe、及Cu)而苦於薄膜中之金屬污染的通常問題。
類似地,自含氮反應物如氨所產生的電漿可形成亦可蝕刻硫族化物的自由氫離子、自由基、及其他電漿物種。例如,氫電漿可與碲或硒反應而分別形成碲化氫(H2 Te)與硒化氫(H2 Se),藉此自堆疊移除材料並導致效能問題與缺陷。此些材料具有低沸點;例如,H2 Te具有-2°C之沸點、而H2 Se具有-41°C之沸點。自暴露至氫電漿而形成此類材料可藉此蝕刻堆疊。因此,某些無氯無氫之製程(如使用N2 電漿)不會產生保形薄膜且可能不會是有效的阻障。
在某些實施例中,在電漿增強原子層沉積製程(PEALD)期間含碘矽烷或含溴矽烷係與氮氣反應,以在低溫下形成高保形性矽氮化物且不形成揮發性氫化物。然而,雖然此類矽氮化物包覆層可在低溫(如低於約250°C)下沉積並獲得極高的階梯覆蓋率(高於約95%)且不形成揮發性之具硫族化物的副產物,但在反應物與更敏感的GST相材料之間仍有某些反應。
文中提供包含阻障層之多層包覆層的形成方法,阻障層係用以避免硫族化物材料與用以保形沉積矽氮化物層之含鹵素之矽前驅物反應。例如,阻障層避免二碘矽烷與硫族化物材料反應。在某些本發明實施例中,阻障層薄到足以作為能避免含鹵素之矽前驅物與硫族化物材料發生反應之阻障層,且以PEALD沉積在阻障層上方的矽氮化物材料提供能有效包覆硫族化物材料用的充分密封性、階梯覆蓋率、及性質。
在各種實施例中,沉積包覆雙層。雙層包含與硫族化物材料直接接觸的阻障層,藉此分離硫族化物材料與保形沉積的矽氮化物材料。雖然雙層可指兩層材料,但應瞭解,亦可沉積兩層以上的膜層。文中所述的阻障層係藉由脈動電漿式電漿增強化學氣相沉積(PP-PECVD)所沉積。在各種實施例中,在沉積阻障層之後,剩餘的包覆層係由PEALD所沉積。在各種實施例中, PP-PECVD層之厚度對PEALD層之厚度的比值係介於約1:5至約1:10之間。
某些本發明實施例係在低於一特定溫度的溫度下進行,在該特定溫度處受到處理的基板的硫族化物材料可能會退化。例如, 在某些實施例中,某些本發明實施例係於低於約300°C、或低於約250°C的基板溫度下進行。應瞭解,文中所述的基板溫度係指支撐受到處理之半導體基板之平臺、或基板支撐件、或基板支架被設定的溫度。在某些實施例中,操作在某些基板溫度處可涉及在處理前進行「溫度浸透」。在「溫度浸透」期間,基板被加熱至製程溫度,在製程溫度處基板將會受到文中所述的各種操作。例如,所揭露的方法可在低於約300°C如約250°C或約200°C、或介於約200°C至250°C之間、或低於約200°C、或低至約150°C、或低至100°C的溫度進行。是以,在某些實施例中,將基板暴露至溫度浸透俾使在製程室中支撐基板的平臺可被設定至一溫度如約250°C,以將基板加熱至製程溫度並在製程前穩定該溫度。
某些本發明實施例適合用以在不損傷OTS與GST硫族化物堆疊中之裸露硫族化物材料的情況(如不形成具有硫族化物之揮發性副產物)下針對OTS與GST硫族化物堆疊形成有效的包覆雙層,並同時維持至少約90%的高階梯覆蓋率及至少約2.4 g/cm3 的密度(PP-PECVD薄膜)與至少約2.75 g/cm3 的密度(PEALD層)。
在各種實施例中,雙層為保形的。薄膜的保形性可藉由階梯覆蓋率來加以量測。文中所用之「階梯覆蓋率」係藉由下列方式計算:側壁上之沉積薄膜之平均厚度除以特徵部之上部處之沉積薄膜的平均厚度,然後將其乘以100以獲得百分比。
雖然PP-PECVD層本身、或PEALD層本身可能不必然是保形的,但包含兩膜層的雙層可能是保形的。亦應瞭解,PEALD層本身亦可能是保形的,但若單獨沉積而不沉積PP-PECVD阻障層可能會導致硫族化物與包覆層之介面處之硫族化物材料的反應或退化。
在某些實施例中,PP-PECVD層具有某些保形性如具有至少約50%的階梯覆蓋率 。在某些實施例中,以保形方式沉積PEALD層俾使階梯覆蓋率至少約為70%、或至少約為90%、或至少約為95%。包含阻障層與PEALD矽氮化物層兩者的包覆雙層可達到高於約70%、或高於約90%、或介於約70%至約90%之間的階梯覆蓋率。
在某些實施例中,經沉積的PP-PECVD或PEALD層或兩者係受到後處理以改善雙層的保形性。後處理製程操作包含週期性地暴露至惰性氣體並在暴露至惰性氣體期間點燃電漿。例如, 在某些實施例中,在沉積包覆層之後,可將包覆層暴露至惰性氣體電漿(例如但不限於氬、氦、氮、及其組合)介於約10秒至約50秒的一段時間。在各種實施例中,可藉由在下列兩者之間循環而沉積包覆層:(1)PP-PECVD沉積、及(2)暴露至惰性氣體與電漿。
雖然文中所述之實例涉及沉積矽氮化物包覆層,但應瞭解, 在某些實施例中,針對阻障層與PEALD可沉積其他材料。例如,文中所述的包覆雙層可包含第IV族元素的氮化物或碳化物,其任何者皆可為經摻雜(如以氧摻雜)或未摻雜的。在各種實施例中,包覆層可為下列化學物或其組合物的任何者:矽氮化物(SiN)、矽碳化物(SiC)、摻雜氧之矽碳化物(SiCO)、鍺氮化物(GeN)、鍺碳化物(GeC)、及摻雜氧之鍺碳化物(GeCO)。包含鍺之包覆雙層的有效性尤其令人驚訝,因為鍺為半導體並通常利用鍺烷(GeH4 )沉積,鍺烷可形成富氫薄膜。富氫包覆層可具有低電阻率且可使裝置短路。此外,GST層中鍺對其他元素的比值會對相變化層的有效性與重覆性有所貢獻;尤其令人驚訝的是,可能會成為過度鍺源而影響GST層組成之含鍺的包覆層能夠被用來作為包覆層而無不利效應。
本發明實施例可適用以沉積具有各種厚度的包覆層。在各種實施例中,PP-PECVD層可沉積至介於約1Å至約30Å的厚度。尤其令人驚訝的是,僅具有3Å 厚度的PP-PECVD層仍能作為極有效的阻障層。在各種實施例中,PEALD層可沉積至介於約10Å至約100Å的厚度。例如,沉積在MRAM堆疊上方的包覆層可具有介於約150Å至約300Å的厚度。又例如,沉積在PCRAM堆疊上方之包覆層可具有約50Å的厚度。針對PP-PECVD層、PEALD層、或兩者可沉積較厚的薄膜。在某些先進記憶體陣列中,然而在某些厚度處,堆疊會變得太厚而沉積於裝置之間。薄膜的厚度取決於使用包覆層的特定應用。在各種實施例中,增加膜層的厚度可增加阻障層在某些特性(如避免濕氣接觸下方的記憶體裝置材料)的有效性,但應權衡特性之有效性與愈來愈小的裝置及記憶體堆疊之間愈來愈小的關鍵尺寸。
圖2A提供之製程流程圖顯示根據某些本發明實施例進行之方法的操作。圖2A之操作可在低於約300°C、或低於約 250°C、或低於約150°C的溫度下進行。
在圖2A的操作230與250期間可流動惰性氣體。在各種實施例中,使用惰性氣體作為載氣。例示性的載氣包含氬氣、氦氣、及氖氣。在某些實施例中,可使用含氫載氣。在某些實施例中,可不使用含氫載氣以減少雙層中的含氫量。在某些實施例中,於某些操作中使用載氣作為吹淨氣體。在某些實施例中,轉移載氣。可提供惰性氣體以協助製程室之壓力及/或溫度控制、液體反應物的蒸發、反應物及/或用以自製程室移除製程氣體之掃除氣體的更快速輸送、及/或製程室管線配置。
在操作210中,將基板提供至製程室。下面參考圖4與5更進一步說明例示性製程室。所提供的基板可為矽晶圓如200-mm晶圓、300-mm晶圓、 或450-mm晶圓,其包含具有一或多層材料如介電材料、導電材料、或半導電材料沉積於其上的晶圓。下層的非限制性實例包含介電層與導電層如矽氧化物、矽氮化物、矽碳化物、金屬氧化物、金屬氮化物、金屬碳化物、金屬層。
基板包含經暴露之雙向閾值切換(OTS)材料、或相變化材料、或兩者。基板包含經暴露之硫族化物材料。例如, 在某些實施例中,基板包含薄膜之堆疊,其一部分為硫族化物材料,且基板之經暴露表面包含硫族化物材料。硫族化物材料可包含硫、硒、及碲。在各種實施例中,基板包含OTS材料及/或相變化材料,其可包含下列元素中的任一或多者:砷、鍺、銻、碲、及硒。
在某些實施例中,基板包含堆疊如磁性穿隧接面。在某些實施例中,基板包含兩或更多堆疊,每一堆疊包含膜層如圖1中所示的膜層。堆疊之間的空間可能是窄的,使得堆疊之間的深寬比可介於約1:1至約60:1之間、或高於約1.5:1、或高於約4:1、或介於約1.5:1至60:1之間、或介於約1.5:1至40:1之間、或介於約1.5:1至20:1之間如約5:1。在某些實施例中,堆疊之間的空間可被稱為負的「特徵部」。在某些實施例中,每一堆疊可被稱為是一正的「特徵部」。 在某些實施例中,所提供的基板為具有正特徵部的圖案化的,每一正特徵部包含一薄膜堆疊,某些薄膜包含硫族化物材料,藉此正特徵部在基板上彼此分隔。在特徵部中每一薄膜堆疊上的硫族化物材料可受到暴露。
一堆疊可包含非揮發生的鐵磁材料如(Co)、鐵(Fe)、錳(Mn)、鎳(Ni)、鉑(Pt)、鈀(Pd)、釕(Ru)、及其組合(如CoFe),且在兩層鐵磁材料之間可包含介電層如鎂氧化物(MgO)層。某些堆疊材料可包含上述所列之鐵磁層之任一者與硼的組合如CoFeB。
在操作230中,以PP-PECVD將阻障層沉積在OTS及/或相變化材料上。在某些實施例中,PP-PECVD阻障層係沉積在OTS與相變化材料兩者上。在某些整合操作如蝕刻、清理、及其他操作中,在單一操作中只有OTS或相變化材料受到暴露,但在某些情況中,在單一操作期間OTS與相變化材料兩者皆同時受到暴露。
OTS薄膜可與GST薄膜具有相同的元素但具有不同的比值及額外的元素如砷與矽。在某些實施例中,OTS可為非晶性薄膜。GST可為具有特定化學配比的化合物且可在非晶性材料與結晶性結構之間切換。
在各種實施例中,阻障層係直接沉積在OTS及/或相變化材料上且經暴露之OTS及/或相變化材料與阻障層之間並無任何其他膜層。
在各種實施例中,以PP-PECVD將阻障層直接沉積在基板之受暴露的硫族化物表面上。在許多實施例中,阻障層係直接沉積在受暴露的硫族化物表面上且阻障層與受暴露之硫族化物表面之間並無任何干涉層。
阻障層係由PP-PECVD所沉積。阻障層之PP-PECVD的相關進一步解釋與實例係於下列參考圖2B說明。
在各種實施例中,在操作230中沉積的阻障層可薄至5Å。在某些實施例中,在操作230中所沉積的阻障層係沉積至介於約5Å至約15Å、或介於約3Å至約10Å的厚度。在各種實施例中,PP-PECVD阻障層係沉積至能保留阻障層特性且減少特徵部之間之間隙之頂部上夾止之儘可能薄的厚度。
在操作230中所沉積的阻障層可具有至少約50%的保形性。雖然在操作230中所沉積的阻障層極薄且可能不是非常保形,但阻障層仍可提供阻障俾使在操作250中的接續沉積不會形成揮發性的副產物而使硫族化物材料受到暴露。在各種實施例中,障阻層的有效性係藉由下列方式判斷:利用X射線螢光(XRF)進行測試的實驗,判斷出有多少硫族化物材料已揮發。在某些實施例中期望的元素損失取決於硫族化物材料的元素。在某些實施例中,利用某些本發明實施例所形成的阻障層能夠達到少於約2%的元素損失,相對地,在不具有PP-PECVD下層的某些情況中達到高於5%的元素損失。在各種實施例中,利用旋轉浸泡清理設備進行側壁著陸插塞接觸件之清理裝飾測試,在橫剖面之後以穿透式電子顯微鏡(TEM)進行硫族化物材料之視覺測試。
在操作230之後,可進行選擇性的後處理,其可在不破真空的情況下進行、或可在相同的製程室中進行、或可在不同的製程室中進行。後處理可涉及將經沉積的阻障層暴露至被電漿點燃的惰性氣體。例示性的惰性氣體包含氮氣、氨、及貴重氣體如氦氣與氬氣。在某些實施例中,尤其使用富氦的惰性氣體。例如, 在某些實施例中,可使用包含氦與氮的惰性氣體混合物。可取決於欲沉積之薄膜的類型以及在沉積製程期間所用的反應物來選擇氣體。後處理氣體可包含純氮氣、純氨、氮/氨混合物、純氦氣、氬/氦混合物、及其組合。亦可使用其他貴重氣體。在沉積阻障層之後,可在相同的製程室中、或在不破真空的情況下使用惰性氣體電漿的後處理。即,在某些實施例中,基板可在製程室中藉此沉積阻障層,當基板仍在相同製程室中時將基板暴露至後處理用之惰性氣體電漿。在某些實施例中,基板可在多站室的一製程站中,藉此在第一站中於基板上沉積阻障層、在不破真空的情況下將基板傳送至第二站、然後在後處理用的第二站中將基板暴露至惰性氣體電漿。
在操作250中,以原子層沉積(ALD)在阻障層上方沉積無氯保形包覆層。在某些實施例中,保形包覆層係於阻障層沉積之後沉積以形成多層包覆層。有鑑於氯的腐蝕性,在沉積期間使用無氯反應物以避免形成揮發性的金屬氯化物。PP-PECVD阻障層並非完全無孔隙的,但能提供充分的阻障以最小化其他鹵素所造成的損傷。在各種實施例中,利用PEALD進行沉積。下面參考圖2C說明更進一步的實施例。在某些實施例中,在無氯保形包覆層之沉積期間電漿是選擇性的。若在無氯保形包覆層的沉積期間使用二碘矽烷作為含矽前驅物,電漿可能不是選擇性的。某些含碘矽烷前驅物可在無電漿的情況下沉積,但在某些實施例中,更包含對沉積層進行後處理以增加沉積層的密度。
在操作250中沉積之PEALD包覆層的厚度可大於在操作230中所沉積之PP-PECVD包覆層的厚度。在某些實施例中,在充足的循環中沉積PEALD層以沉積至薄膜的期望厚度。可將任何適合數目的沉積循環包含於一PEALD製程中以沉積矽氮化物之期望的薄膜厚度。例如,利用本發明實施例可進行約50個沉積循環以在基板上沉積薄膜。在某些實施例中,在製造記憶體裝置用之薄膜堆疊上方的側壁上,經沉積之矽氮化物薄膜的厚度可高於約30Å。
在操作250中所沉積的包覆層可具有至少約95%、或約100%、或100%的階梯覆蓋率。在某些實施例中,具有含硫族化物材料之材料堆疊之基板在堆疊之間的深寬比約為5:1,操作250可涉及利用含碘前驅物與氨沉積階梯覆蓋率至少約為95%的矽氮化物。
在各種實施例中,在真空下進行操作230與250。可在相同的製程室中、或在不破真空的情況下進行操作230與250。即,在某些實施例中,基板可在一製程室中藉此以PP-PECVD沉積阻障層,且基板係位於相同製程室中以PEALD沉積包覆層。在某些實施例中,基板可位於多站室的製程站中,藉此在一第一站中將PP-PECVD阻障層沉積至基板上、在不破真空的情況下將基板傳送至一第二站、及在第二站中將PEALD包覆層沉積至基板上。
圖2B提供進行圖2A之操作230的一實例。在操作231中,連續地將基板暴露至沉積前驅物與反應物。例如, 在各種實施例中,當在低於約300°C的溫度下加熱基板時,沉積前驅物與反應物的連續流可流至容納基板的製程室。
取決於欲沉積之包覆層的類型,可利用各種反應物來沉積包覆層。例如,可藉著將基板暴露至含矽前驅物與含氮反應物而進行矽氮化物包覆層的沉積。
在許多實施例中,沉積前驅物為含矽前驅物。在文中所述之方法中所用之一般的含矽前驅物可具有下列結構:
Figure 02_image001
R1、R2、及R3可為相同或不同的取代物且可包含矽烷、胺、鹵素、氫、或有機基團如烷基胺、烷氧基、烷基、烯基、炔基、及芳香基團。
在各種實施例中,使用無鹵素之含矽前驅物。例如, 在某些實施例中,使用氨矽烷或二矽烷。不受特定理論的限制,一般相信將某些硫族化物材料暴露至含鹵素之含矽前驅物可形成會減少硫族化物材料在記憶體裝置中之功能能力的鈍化層。
雖然在操作230期間可使用各種含矽前驅物,但針對具有充分擴散特性而能避免下方之硫族化物材料暴露至接續操作中所用之沉積化學品的阻障薄膜的沉積而言,聚矽烷(H3 Si-(SiH 2)n -SiH3 ,其中n > 1)可得到尤其良好的結果。例示性的聚矽烷包含例如矽烷、二矽烷、三矽烷、三矽胺、三甲矽烷基胺、及、及四矽烷:
Figure 02_image003
矽烷   二矽烷   三矽烷     四矽烷     三甲矽烷基胺
在某些實施例中,含矽前驅物為烷氧基矽烷。可使用的烷氧基矽烷包含但不限於下列者: Hx -Si-(OR)y ,其中x = 1-3, x+y = 4 ,且R為經取代或未經取代之烷基團; Hx (RO)y -Si-Si-(OR)y Hx ,其中x = 1-2,x+y = 3,且R為經取代或未經取代之烷基團。
含矽前驅物的實例包含:甲基矽烷;三甲基矽烷(3MS);乙基矽烷、四矽烷;五矽烷;八矽烷;七矽烷;六矽烷;環四矽烷;環七矽烷;環六矽烷;環八矽烷;環五矽烷;1,4-二氧-2,3,5,6-四矽環六烷;二乙氧基甲基矽烷(DEMS);二乙氧基矽烷(DES) ;二甲氧基甲基矽烷;二甲氧基矽烷(DMOS);甲基-二乙氧基矽烷(MDES);甲基-二甲氧基矽烷(MDMS);八甲氧基十二矽氧烷(OMODDS);第三丁氧基二矽烷;四甲基環四矽氧烷(TMCTS);四氧甲基環四矽氧烷(TOMCTS);三乙氧基矽烷(TES);三乙氧基矽氧烷(TRIES);及三甲氧基矽烷(TMS或TriMOS)。
在某些實施例中,含矽前驅物可為具有氫原子的氨基矽烷如雙二乙基氨基矽烷、二異丙基氨基矽烷、二(第三丁基氨基)矽烷 (BTBAS)、或三(二甲基氨基)矽烷。氨基矽烷前驅物包含但不限於下列者:Hx -Si-(NR)y ,其中x = 1-3,x + y = 4,且R為有機基團或氫化物基團。在某些實施例中,含氧無氯之矽前驅物如MDES與MDMS因醇基團的存在,可具有將氧含入包覆層中而潛在地形成羥基團的風險。此外,可調制條件與反應物如藉著在沉積期間減少含氧無氯之矽前驅物的液流或藉著使用矽前驅物的混合物,以避免羥基團的形成。
在各種實施例中,在介於約10 sccm 至約200 sccm之間、或介於約20 sccm至約70 sccm之間、或介於約40 sccm至約60 sccm之間的流率下流動含矽前驅物。
用以與含矽前驅物反應的反應物取決於欲沉積的材料。例如,對於沉積矽氮化物,所用之反應物為含氮反應物。例示性的含氮反應物包含氮氣 與氨氣。在各種實施例中,含氮氣體不含鹵素。
在介於約100 sccm至約300 sccm之間的流率下流動含氮反應物。在各種實施例中,含矽前驅物對含氮反應物的比值係介於約25 sccm至約300 sccm之間、或介於約130 sccm至約170 sccm之間。
在某些實施例中,在操作231期間流動惰性氣體。矽前驅物對惰性氣體的比值可約為100:1。
在某些實施例中,在自製程氣體源輸送含矽前驅物、含氮反應物、或此兩種氣體時,可將其稀釋。例如, 在某些實施例中,以氫稀釋含矽前驅物。在使用氫作為惰性氣體的實施例中,矽前驅物之流率對氫之流率的比值可約為5:1。在使用含氮氣體或氣體混合物作為惰性氣體的實施例中,矽前驅物之流率對含氮氣體或氣體混合物之組合流率的比值可介於約500:1。在某些實施例中,含氮氣體混合物包含氮氣與氨氣。在某些實施例中,以氫稀釋含氮反應物。稀釋劑可為下列氣體中的任何一或多者:氫氣、氬氣、氦氣、氖氣、及氙氣。
在某些實施例中,在沉積期間可使用氨稀釋劑以減少電漿中的氫濃度以及接續被含入沉積層中的氫。此外,進行實驗比較薄膜中之N-H鍵結相較於薄膜中之總N-H與Si-H鍵結對濕式蝕刻率的關係;數據顯示,藉著較少量的N-H鍵結能達到較低的濕式蝕刻率。進行另一實驗比較薄膜應力對濕式蝕刻率的關係;結果顯示,利用高壓縮應力薄膜可達到低濕式蝕刻率。此兩實驗的組合得到,低應力薄膜可減少濕式蝕刻率,減少濕式蝕刻率亦可藉著減少薄膜中的N-H鍵結達到。藉著變化所使用之氨氣的量可調制N-H鍵結的存在。在電漿中的氫存在可在沉積期間造成硫族化物損傷且將氫含入沉積包覆層中可導致非所欲之濕式蝕刻率。在一實例中,可使用矽烷氣體流率對氨氣流率的比值減少濕式蝕刻率。例如, 在某些實施例中,矽烷氣體流率對氨氣流率的比值可約為1:3 (針對PP-PECVD薄膜),相對於非脈動薄膜可涉及約1:8的比值。可使用濕式蝕刻率作為判斷薄膜品質的度量;例如,低濕式蝕刻率薄膜可具有高品質。
在某些實施例中,PP-PECVD可涉及在沉積期間導入氫。氫對增加保形性有貢獻,但在使用氫的情況中進行PP-PECVD短時間期間以避免造成氫與硫族化物材料之間的反應。例如,脈動可介於約0.1ms至約10ms之間,且流動製程氣體與脈動電漿的總時間期間係少於約50秒。
在操作233中,當流動沉積前驅物與反應物時,點燃及脈動電漿。在各種實施例中,藉著開啟及關閉電漿而脈動電漿,其係介於0W與「開啟」狀態之功率之間,「開啟」狀態的功率係介於約每片基板80W至約每片基板250W。在各種實施例中,藉著在兩電漿狀態 – 「低功率」狀態與「高功率」狀態之間點燃電漿而脈動電漿,「低功率」狀態之電漿功率係介於約125W至約250W之間、或約每站45W,「高功率」狀態之電漿功率係介於約250W至約1000W、或約每站200W。
不受特定理論的限制,一般相信,在不使用電漿的情況下,使用CVD的熱沉積製程會沉積具有約2.1 g/cm3 之低薄膜密度的矽氮化物薄膜,此密度對於在使用PEALD的接續沉積時用以避免對硫族化物材料之損傷而言太薄了。可使用密度作為判斷包覆層之品質的度量。高密度層為更包覆的膜層。例如, 在某些實施例中,利用某些本發明實施例所沉積的PP-PECVD層具有至少約2.4 g/cm3 的密度。在某些實施例中,利用某些本發明實施例所沉積的PEALD層具有至少約2.75 g/cm3 的密度。
在某些實施例中,沉積層可更進一步受到後處理,尤其是未使用電漿沉積的薄膜可受到後處理。即,在某些實施例中,可對熱沉積層進行後處理以增加密度並改善包覆層特性。一例示性之後處理利用在具有氦氣與氮氣之環境中點燃的電漿以低功率(如每站約100W)處理熱沉積薄膜介於約1分鐘至約8分鐘之間的期間。
在各種實施例中,在將基板暴露至沉積前驅物與反應物沉積薄膜時,以短脈動脈動電漿,短脈動例如是具有介於約0.01 ms至約5 ms之間、或介於約0.02 ms至約5 ms之間、或介於約0.05 ms至約5 ms之間、或介於約0.05 ms 至約1.9 ms之間、或介於約0.5 ms至約1.9 ms之間之脈動期間的脈動。在各種實施例中,利用介於約100 Hz至約6 Hz之間的脈動頻率脈動電漿。
可在下列條件下脈動電漿:脈動頻率介於約2 Hz至約100 kHz之間,且工作週期之範圍係自約1%至約95%之間、或約2%至20%之間。在各種實施例中,工作週期係約10%。在各種實施例中,工作週期影響沉積薄膜的濕式蝕刻率。較低的濕式蝕刻率導致較低的濕式蝕刻率及較高品質之矽氮化物薄膜。在下面參考圖6更詳細討論實驗。
工作週期係定義為在具有持續時間T的期間內電漿開啟的時間期間。持續時間T包含在給定時間期間內脈動ON或HIGH時間期間(電漿係處於ON狀態或HIGH功率狀態的時間期間)及電漿OFF或LOW時間期間(電漿係處於OFF狀態或LOW功率狀態的時間期間)。脈動頻率應被理解為1/T。例如,針對電漿脈動期間T = 100 µs,頻率1/T = 1/100 µs或10 kHz。工作週期或工作比為在T時間期間內電漿係處於ON狀態之時間期間的分數或百分比,俾使工作週期或工作比為脈動ON時間除以T。例如,針對電漿脈動期間T = 100 µs,若脈動ON時間為70 µs (俾使電漿處於ON狀態的期間為70 µs)且脈動OFF時間為30 µs (俾使電漿處於OFF狀態的期間為30 µs),則工作週期為70%。在某些實施例中,在脈動步驟期間最短的RF開啟時間可低至約 5µsec。在某些實施例中,最短的RF關閉時間可約為5 µsec。取決於工作週期與頻率,可進行RF開啟/RF關閉脈動的各種組合。例如, 在某些實施例中,此操作可持續進行約0.01 ms至約5 ms之間、或介於約0.02 ms至約5 ms之間、或介於約0.05 ms至約5 ms之間、或介於約0.05 ms至約1.9 ms之間、介於約0.5 ms至約1.9 ms之間的期間。在操作233期間,在總電漿持續期間可脈動電漿數百次至數千次。在某些實施例中,較高的工作週期可減少保形性,因為較高的工作週期會造成沉積製程變得更像CVD而非ALD。
不受特定理論的限制,一般相信,脈動電漿所達到的條件足以形成具有至少約30%、或至少約50%之階梯覆蓋率的阻障層。PP-PECVD層的階梯覆蓋率判斷用以使側壁上具有適當薄膜覆蓋的沉積厚度。例如,若沉積層具有低階梯覆蓋率如低於約40%,則可使用沉積較高薄膜來達到介於約3Å至約10Å之間的側壁厚度以提供有效障層所需的充分厚度。在PP-PECVD具有較高階梯覆蓋率如約50%的某些實施例中,特徵部之上部處的厚度可小於20Å,對有效阻障層提供足夠厚度但對於避免夾止提供夠薄的厚度。由於裝置微縮,在先進幾何尺寸之裝置中,間隙央寸可影響厚度與階梯覆蓋率的組合。在連續PECVD中,當電漿為製程室所產生的離子、自由基、中性物種、及其他反應性物種時,其中的大部分者可能會太具反應性,藉此使硫族化物材料退化及/或與硫族化物材料反應而形成鈍化層或揮發性氣體。
不受特定理論的限制,在文中所述的PP-PECVD沉積中,一般相信,當在每一脈動後關閉電漿或電漿處於低狀態時,反應性物種以下列順序重新結合:電子消失/重新結合、離子重新結合、及自由基重新結合。由於脈動極短(例如電漿開啟極短的時間,然後關閉較長的時間以允許沉積),因此當關閉電漿時,電子與離子重新結合,消除在沉積材料時離子的方向性。自由基需要較長的時間重新結合,故沉積係主要由自由基所驅動而非由離子驅動。接著自由基能深入高深寬比之特徵部(1.5:1 – 20:1,尤其適合於大於4:1的應用)中並沉積具有至少充分保形性以甚至在特徵部底部處作為硫族化物材料與PEALD包覆層之間之阻障層的薄膜。然而應瞭解,在所有的實施例中這可能不會發生。
在各種實施例中,在操作233期間,在約1.25 Å/秒的沉積速率下沉積PP-PECVD薄膜。
可進行操作233俾以在介於約5秒至約50秒的期間脈動電漿。即,在約5秒至約50秒的時間期間內脈動電漿多次。在某些實施例中,進行操作233直到沉積的材料具有介於約5Å至約10Å的厚度。在某些實施例中,由於PP-PECVD之質量傳輸限制域,具有經暴露之硫族化物材料之圖案化基板之側壁上的阻障層的厚度可介於約5Å至約10Å之間,但場域中之圖案的上部上的阻障層的厚度可介於約10Å至約30Å或約15Å之間。
在各種實施例中,操作231與233形成具有低保形性如至少約50% 或約50%的阻障層。然而,只要阻障層可具有避免下方硫族化物材料與接續製程中所用之其他化學品反應的功能,可能不期望阻障層的高保形性。
尤其不期望發現,用以保護硫族化物材料不與氫氣、其他環境氣體、及後續沉積中所用之製程氣體反應藉此保存下方OTS或相變材料的阻障層具有薄至約5Å的厚度。阻障層的薄亦不會影響沉積在阻障層上方之包覆層的特性。
在操作231與233中所沉積的阻障層形成具有至少約2.5 g/cm3 之密度的包覆層。
在某些實施例中,如上所述,在操作233之後可進行一選擇性的後處理。在某些實施例中,進行後處理以緻密化PP-PECVD阻障層。例如, 在某些實施例中,在PP-PECVD後阻障層的密度可低於2.0 g/cm3 。可進行後處理以將PP-PECVD阻障層緻密化至介於約2.3 g/cm3 至2.7 g/cm3 之間的密度。
在操作230中所沉積之PP-PECVD阻障層的密度係低於在操作250中所沉積之PEALD層的密度。PP-PECVD阻障層的密度可低於約2.5 g/cm3 而 PEALD矽氮化物層的密度可高於約2.76 g/cm3 。在各種實施例中,進行選擇性的後處理以緻密化PP-PECVD阻障層,將其密度增加至介於無後處理之PP-PECVD阻障層的密度與根據操作250所沉積之PEALD矽氮化物層的密度之間的一密度。。
即,在某些實施例中,在脈動電漿之後,關閉前驅物與反應物流兩者並可使惰性氣體在無製程氣體的情況(無沉積前驅物如含矽前驅物或反應物 如含氮反應物)下流至製程室且點燃電漿以利用惰性氣體電漿處理經沉積的阻障層。在某些實施例中可進行後處理介於約30秒至約60秒的一段時間。
在某些實施例中,後處理之電漿功率可大於在操作230、操作250、或兩者期間所用的電漿功率。在某些實施例中,後處理之電漿所在之製程室的腔室壓力係低於操作230期間所用的腔室壓力。
在某些實施例中,後處理所用之製程室係有別於操作230與250所用的製程室。在某些實施例中,後處理係在不破真空的情況下於操作230與250之間進行。例如, 在某些實施例中,操作230可在第一製程室中進行、後處理可在第二製程室中進行、而操作250可在第三製程室中進行,其中三個製程室皆為相同設備的一部分。在某些實施例中,第一製程室與第三製程室可為相同製程室;即,在一不同的製程室中進行後處理之後,可將基板傳送回第一製程室接續進行操作250。在某些實施例中,三個製程室皆設定為不同的腔室壓力。腔室壓力亦可取決於針對每一製程室中之沉積與後處理所選擇的化學品、及包覆雙層的期望特性。
現參考圖2C,針對圖2C所述的操作提供在圖2A之操作250中於阻障層上方沉積無氯保形包覆層的技術的一實例。圖2C可包含以ALD或PEALD沉積包覆層的操作。在圖2C之前,在基板上提供利用PP-PECVD所沉積的阻障層如矽氮化物 阻障層,俾使阻障層係與基板上的硫族化物材料直接接觸,且在圖2C中所沉積的包覆層係直接沉積於阻障層上且兩者之間並無其他的干涉層。
文中所述的實施例涉及ALD沉積。ALD為一種利用依序自我限制性反應而沉積薄層材料的技術。一般而言,一個ALD循環包含輸送至少一反應物並使其吸附至基板表面的操作、及接著使已吸附之反應物與一或多種反應物反應而形成材料之至少一部分膜層的操作。例如,矽氮化物的一沉積循環可包含下列操作:(i)輸送/吸附一矽前驅物、(ii)自製程室吹淨矽前驅物、(iii)輸送含氮反應物與選擇性的電漿、及(iv)自製程室吹淨含氮氣體及/或電漿。
不若化學氣相沉積(CVD)技術,ALD製程使用表面中介沉積反應以逐層方式沉積薄膜。在ALD製程的一實例中,在提供至容納基板之製程室的一劑量中,包含表面活性點位之群落的基板表面被暴露至第一前驅物如含鹵素之矽前驅物的氣相分佈。此第一前驅物的分子吸附至基板表面上,其包含第一前驅物之化學吸附之物種及/或物理吸附之分子。應瞭解,當如文中所述化合物吸附至基板表面上時,吸附層可包含該化合物以及該化合物的衍生物。例如,含碘之矽前驅物的吸附層可包含含碘矽前驅物以及含碘矽前驅物的衍生物。在第一前驅物給劑之後,接著排空製程室以移除大部分或全部之氣相的剩餘第一前驅物,因此僅有或大部分的吸附物種留下來。在某些實施例中,可能不會完全排空製程室。例如,可排空製程室俾使氣相第一前驅物的分壓係充分的低以緩和反應。
將第二反應物如含氮反應物導至製程室PPG俾使此些第二反應物分子中的某些者與吸附在表面上的第一前驅物反應。在某些製程中,第二前驅物立即與經吸附的第一前驅物反應。在其他實施例中,第二反應物僅在暫時施加活化源如電漿後才反應。在某些實施例中,在第二反應物給劑期間點燃電漿。接著再次排空製程室以移除未受束縛的第二反應物分子。如上所述,在某些實施例中,可能不會完全排空製程室。可使用額外的ALD循環建立薄膜厚度。
在某些實施例中,ALD第一前驅物劑量會部分飽和基板表面。在某些實施例中,一ALD循環的給劑階段在前驅物接觸基板而均勻地飽和表面之前結束。一般而言,在此點處關閉或轉移前驅物流,然後只流吹淨氣體。藉著在此次飽和域中操作,ALD製程減少循環時間並增加產量。然而,由於前驅物吸附並非飽和限制性的,因此經吸附之前驅物的濃度在基板表面各種可能會略為不同。操作在次飽和域之ALD製程的實例係提供於下列文獻中:2013年10月23日所申請之名為「SUB-SATURATED  ATOMIC  LAYER  DEPOSITION  AND CONFORMAL FILM DEPOSITION」的美國專利申請案US 14/061,587 (現為美國專利US 9,355,839),將其所有內容包含於此作為參考。
如所述,在某些實施例中,ALD方法包含電漿活化。如文中所述, 文中所述的ALD方法及設備可為保形薄膜沉積(CFD)方法,下列文獻中大致上說明了此種方法:2011年4月11日所申請之名為「PLASMA ACTIVATED  CONFORMAL  FILM  DEPOSITION」的美國專利申請案US 13/084,399 (現為美國專利US 8,728,956);及2011年4月11日申請之名為「SILICON  NITRIDE  FILMS  AND METHODS」的美國專利申請案US 13/084,305,將其所有內容包含於此作為參考。
參考回圖2C,在各種實施例中,操作251-257構成一ALD循環。雖然圖2C與下列圖2C的敘述說明利用含碘及/或含溴矽前驅物以PEALD沉積矽氮化物包覆層,但應瞭解,在某些實施例中,在此些操作中所沉積的包覆層可利用不同的前驅物與反應物所沉積且在某些情況中可不涉及點燃電漿。
參考圖2C,在操作251中,將基板暴露至含鹵素之矽前驅物俾使矽前驅物吸附至基板表面上。在各種實施例中,矽前驅物吸附至PP-PECVD阻障層上。在某些實施例中,矽前驅物吸附至PP-PECVD阻障層上以及經暴露至硫族化物材料上。然而在某些情況中,在硫族化物材料之堆疊之間之間隙中的PP-PECVD阻障層的厚度可能會比硫族化物材料之堆疊之水平上部處之場域上之PP-PECVD阻障層的厚度更薄,但在操作251中含鹵素之矽前驅物仍可吸附至PP-PECVD矽氮化物阻障層之經暴露的表面上。
在各種實施例中,含鹵素之矽前驅物為無氯含鹵素之矽前驅物。例如, 在某些實施例中,前驅物為含碘及/或含溴矽前驅物俾使含碘及/或含溴矽前驅物吸附至基板表面上。使用含碘及/或含溴矽前驅物允許無氯沉積。
在各種實施例中,矽前驅物為無氫的。例如,在各種實施例中含碘及/或含溴矽前驅物可以溴及/或碘原子完全取代。即,含碘前驅物及/或含溴前驅物可不具有氫原子。
「含碘矽前驅物」與「碘矽烷前驅物」兩詞在本文中可交換使用且兩者皆指包含至少一矽原子與至少一碘原子的前驅物。
使用含碘矽前驅物允許無氯沉積。例示性的含碘之矽前驅物包含二碘矽烷(DIS)、四碘矽烷、六碘二矽烷等。
在某些實施例中,使用含碘、或含溴、或含碘與溴之含矽前驅物而非含氯前驅物。在某些實施例中,可使用無氯之含鹵素矽烷俾使矽烷包含至少一鹵素原子。此類矽烷可具有化學式SiXa Hy ,其中y > 0且X為非氯之鹵素且a+y=4。例如,在某些實施例中可使用二碘矽烷(H2 SiI2 )。
例示性之含碘矽前驅物包含二碘矽烷(DIS)、四碘矽烷、六碘矽烷等。在各種實施例中,含溴矽前驅物係完全鹵素化的。含溴矽前驅物可具有化學式Six Bry Iz ,其中若x=1則y為介於且包含1 至4的整數且y+z=4,或若x=2則y為介於且包含1 至6的整數且y+z=6。例示性的含溴矽前驅物包含四溴矽烷 (SiBr4)、SiBr3 I、SiBr2 I2 、SiBrI3 、六溴二矽烷(Si2 Br6 )、Si2 Br5 I、Si2 Br4 I2 、Si2 Br3 I3 、Si2 Br2 I4 、Si2 BrI5 、及其組合。
在操作251中,將基板暴露至矽前驅物,是以矽前驅物吸附至包含PP-PECVD阻障層的基板表面上,以在PP-PECVD阻障層上形成矽前驅物的吸附層。在某些實施例中,矽前驅物為含碘及/或含溴矽前驅物且含碘及/或含溴矽前驅物係以自我限制性的方式吸附至基板表面上,因此一旦活性點位被含碘及/或含溴矽前驅物所佔據,極少或沒有額外的含碘及/或含溴矽前驅物能再吸附至基板表面上。例如,含碘及/或含溴矽前驅物可吸附至至少約60%的基板表面上。在各種實施例中,當含碘及/或含溴矽前驅物流至製程室時,含碘及/或含溴矽前驅物吸附在基板表面上的活性位點上,在表面上形成含碘及/或含溴矽前驅物的薄層。
在各種實施例中,此層可小於一單層,可具有介於約0.2 Å至約0.4 Å的厚度。文中所提供的方法可在低於約300°C的溫度如約250°C處進行。在某些實施例中,本發明實施例係在介於約50°C至約300°C之間的溫度如介於約200°C至275°C之間的溫度下進行。在某些實施例中,在矽氮化物係在介於約50°C至約300°C之間的溫度下沉積。在某些實施例中,矽氮化物係在介於約200°C至約275°C之間的溫度下沉積。
在操作251中暴露至沉積前驅物的期間,PP-PECVD阻障層保護硫族化物材料尤其是GST材料不與前驅物反應,藉此保留硫族化物GST材料的輪廓與特性。PP-PECVD層避免硫族化物材料形成具有矽前驅物的鹽類。例如,在不存在PP-PECVD阻障層且含碘矽前驅物係直接吸附至硫族化物材料之暴露表面上的情況中,硫族化物可與含碘矽前驅物以形成含碘硫族化物的鹽類,該鹽類在硫族化物材料的表面上形成鈍化層。雖然鈍化層可能不會重新沉積在表面上而造成損傷,但其能使硫族化物材料的表面退化,降低其功能。類似地,含溴矽前驅物亦可與硫族化物反應,形成鈍化層。然而,在暴露至PEALD保形沉積用之含鹵素之矽前驅物之前,若沉積在硫族化物材料上的PP-PECVD阻障層存在,則硫族化物不會與矽前驅物反應故只會形成極少至無的鈍化層,藉此可保留硫族化物材料。又,含鹵素之矽前驅物能吸附至PP-PECVD阻障層而形成高度保形包覆層,且即便若PP-PECVD阻障層具有低保形性,PP-PECVD阻障層可極薄(例如約5Å),俾使PP-PECVD阻障層的厚度變化不會避免最終包覆雙層有實質的保形性變異量。例如,在50%保形性PP-PECVD層上方僅沉積厚度5Å之近乎100%保形性的PEALD SiN,仍能得到具有超過90%保形性的雙層。
在操作253中,選擇性地吹淨製程室以移除尚未吸附至基板表面之氣相的過量含碘矽前驅物。吹淨製程室可涉及流動吹淨氣體或掃除氣體,吹淨氣體或掃除氣體可為其他操作中所用的載氣、或可為不同的氣體。在某些實施例中,吹淨可涉及排空製程室。例示性之吹淨氣體包含氬氣、氮氣、氫氣、及氦氣。在某些實施例中,操作253可包含一或多個用以排空製程室的排放子階段。或者,應明白,在某些實施例可省略操作253。操作253可持續任何適合的時間期間如介於約0秒至約60秒之間的期間例如約0.01秒。在某些實施例中,增加一或多種吹淨氣體的流率可減少操作253的持續時間。例如,可根據各種反應物熱動力學特性及/或製程室的幾合特性及/或修改操作251持續期間用之製程室管線配置,調整吹淨氣體流率。在一非限制性實例中,可藉著調制吹淨氣體流率來調整吹淨階段的持續時間。這可減少沉積循環時間,進而改善基板產量。在吹淨後,含碘及/或含溴矽前驅物仍吸附在基板表面上。
在操作255中,將基板暴露至第二反應物以與含碘及/或含溴之矽前驅物的吸附層反應。注意,「第二反應物」一詞可用以描述當在一ALD循環中點燃電漿室被導入製程室的一或多種氣體。
在各種實施例中,第二反應物為無氫的。例如, 在某些實施例中,使用氮氣(N2 )作為第二反應物,其與含碘及/或含溴之矽前驅物反應而形成矽氮化物薄膜。
在第二反應物之暴露期間,PP-PECVD阻障層保護下方的硫族化物材料尤其是GST材料,使其不與第二反應物反應。在各種實施例中,PP-PECVD阻障層的存在避免硫族化物材料與氮電漿反應、避免在硫族化物表面上形成鈍化層。PP-PECVD阻障層亦可避免來自ALD副產物如碘化氫的損傷。
在某些實施例中,若經沉積之PP-PECVD層係充分厚如至少約15Å、或至少約20Å,在PEALD期間可使用氨電漿。類似地,在PP-PECVD沉積之後可使用N2 /H2 電漿以減少轉化電漿的氫含量。或者,可依序(以任何順序)進行離散的N2 與H2 電漿暴露操作,以較佳控制在ALD循環期間的側壁薄膜組成。例如,由於反應副產物如碘化氫可攻擊硫族化物材料,可使用依序暴露,藉著減少碘化氫形成在經濕潤的表面上,控制粒子生成與腐蝕。
在某些實施例中,反應可為熱反應。涉及利用氨(NH3 )或肼(如H4 N2 )之熱ALD 的方法能減少污染並減少沉積期間的氫自由基存在,藉此減少基板及/或製程室中之硫族化物及/或金屬的蝕刻。然而,在氨與肼中的氫存在可造成硫族化物退化。對於熱製程而言,可在至少約250°C如約300°C的溫度下進行沉積。在某些實施例中,在介於約50°C至約300°C之間的溫度如介於約200°C至約275°C之間的溫度下進行本發明實施例。在某些實施例中,在介於約50°C至約300°C之間的溫度下沉積矽氮化物。在某些實施例中,在介於約200°C至約275°C之間的溫度下沉積矽氮化物。
在某些實施例中,可在操作255中選擇性地點燃電漿。可提供電漿能量以將含氮氣體活化為離子與自由基及其他經活化的物種,離子與自由基及其他經活化的物種與矽前驅物的吸附層反應。在涉及電漿的本發明實施例中,電漿可包含少於約1%的氫自由基,藉此減少沉積期間硫族化物或金屬材料的蝕刻。在某些情況中,由於來自薄膜表面之氫原子可被氮電漿提取而形成氫自由基,因此來自薄膜表面的殘留氫可形成氫自由基。在某些實施例中,在使用無氫之含氮反應物的情況中,電漿不包含氫自由基。
在各種實施例中,電漿為原地電漿如在製程室中直接形成於基板上方的電漿。原地電漿可在每片基板介於約0.2122 W/cm2 至約2.122 W/cm2 之間的功率下點燃。例如,針對處理四片300 mm晶圓的製程室而言,功率範圍可自約150W至約6000W之間、或自約600 W至約6000 W之間、或自約800 W至約4000 W之間。例如,可利用兩片電容耦合板將射頻(RF)場施加至氣體以產生ALD製程用的電漿。RF場將兩板之間的氣體離子化而點燃電漿,在電漿放電區域中產生自由電子。此些電子係由RF場加速且可與氣相反應物分子碰撞。此些電子與反應物分子之碰撞可形成能參與沉積製程的自由基物種。應明白,可藉由任何適合的電極耦合RF場。在各種實施例中,使用具有至少約13.56 MHz、或至少約27 MHz、或至少約40 MHz、或至少約60 MHz之頻率的高頻電漿。在某些實施例中,可使用微波系的電漿。電極的非限制性實例包含製程氣體分散噴淋頭及基板支撐平臺。應明白,ALD製程的電漿可藉由將RF場電容耦合至氣體以外的一或多種適合方法所形成。在某些實施例中,電漿為遠端電漿,是以在製程室上游之遠端電漿產生器中點燃第二反應物,然後將其輸送至容納了基板的製程室。
回到圖2C,在操作257中,選擇性地吹淨製程室以移除經蝕刻的物種以及任何殘留的副產物。操作257可利用針對上述操作253所述之任何條件進行吹淨。
在圖2C的操作259中,判斷是否已沉積到薄膜的期望厚度。若否,重覆操作251-257充足的循環次數以沉積薄膜的期望厚度。在一ALD製程中可包含任何適當次數之沉積循環,以沉積矽氮化物之期望的薄膜厚度。例如,可利用本發明實施例進行約50個沉積循環以在基板上沉積薄膜。在某些實施例中,在製造記憶體裝置用之薄膜堆疊上之一側壁上之經沉積之矽氮化物薄膜的厚度可高於約30Å。
在各種實施例中,PEALD矽氮化物包覆層所具有之密度係大於PP-PECVD阻障層之密度。在某些實施例中,在操作251-259中沉積的PEALD矽氮化物包覆層具有至少約2.75 g/cm3 的密度。
包含PP-PECVD與PEALD薄膜兩者作為包覆層的雙層相較於單一PP-PECVD薄膜、或單一PEALD薄膜具有較佳的特性。例如,單一PP-PECVD阻障層可能不足以提供保形性而在OTS或GST 硫族化物材料上方提供密封包覆層。又,利用可能皆無氫之含鹵素之矽前驅物與含氮反應物所沉積的單獨PEALD包覆層可能會因為含鹵素之矽前驅物與硫族化物材料反應而仍造成一鈍化層形成在硫族化物材料之表面上。然而,當在PEALD包覆層下方具有PP-PECVD阻障層時,PP-PECVD阻障層在硫族化物材料與含鹵素之矽前驅物之間提供充分的阻障,以避免含鹵素之矽前驅物與硫族化物材料反應,且沉積在PP-PECVD層上方的PEALD包覆層提供充分的密封性、高保形性、及低氫含量。文中所述的雙層方案涉及,在暴露至含鹵素之矽前驅物之前,將PP-PECVD阻障層直接沉積在硫族化物材料上。即,在各種實施例中,PP-PECVD阻障層係利用無鹵素之矽前驅物如矽烷或二矽烷所沉積。
圖3之例示性時序圖顯示根據某些所揭露之實施例之方法的一實例。製程300包含經脈動之電漿階段330與ALD階段350。經脈動之電漿階段330可對應至圖2A之操作230、或圖2B之操作231與233。ALD階段350可對應至圖2B之操作250、或圖2C之操作251-257。在例示性製程300中顯示下面將更進一步說明的兩個ALD循環。在此特定的實例中,在經脈動之電漿階段330中利用矽烷與氮氣作為氮反應物沉積矽氮化物 PP-PECVD阻障層,亦在ALD階段中使用矽烷與氮氣。在經脈動之電漿階段330中沉積矽氮化物 PP-PECVD阻障層之後,在ALD階段350中利用兩個ALD循環沉積矽氮化物 PEALD包覆層,矽氮化物PEALD包覆層係利用無氯之矽前驅物如二碘矽烷與氮氣所沉積。
在整個製程300期間可流動惰性氣體。應瞭解,在某些實施例中,惰性氣體亦具有吹淨氣體的功能。例示性的惰性氣體包含氬氣、氦氣、及氙氣。可使用非氫的惰性氣體以避免氫與硫族化物材料之間的反應。此外,應瞭解,雖然使用文中所述之相同惰性氣體作為惰性氣體與吹淨氣體,但在某些實施例中,此些氣體可具有不同的組成。又,在某些實施例中,使用惰性氣體作為載氣以將一或多種製程氣體輸送至製程室。
在經脈動之電漿階段330(可對應至圖2B之操作230)中,流動惰性氣體、關閉無氯之矽前驅物流、流動矽烷 反應物、亦流動氮反應物。如在經脈動之電漿階段330中所示,在ON與OFF階段之間點燃電漿數次。電漿的脈動可對應至圖2B的操作233。
ALD階段350包含兩個ALD循環。第一ALD循環包含前驅物暴露階段351a(可對應至圖2C之操作251。在前驅物暴露階段351a期間,流動惰性氣體、流動無氯之矽前驅物、關閉矽烷反應物、亦關閉氮反應物。電漿功率係關閉且未點燃電漿。
在吹淨階段353a(可對應至圖2C之操作253)中,在無氯之矽前驅物、矽烷反應物、及氮反應物流皆關閉且亦關閉電漿時,流動惰性氣體。
在反應物暴露階段355a(可對應至圖2C之操作255)中,無氯之矽前驅物與矽烷反應物流關閉但氮反應物流開啟且點燃電漿時,流動惰性氣體。
在吹淨階段357a(可對應至圖2C之操作257)中,在無氯之矽前驅物、矽烷、及氮流關閉且亦關閉電漿時,流動惰性氣體。
在此實例中,由於在圖2C之操作259中評估出薄膜尚未沉積至充分厚度,因此重覆ALD循環。ALD的第二循環包含前驅物暴露階段351b、吹淨階段353b、反應物暴露階段355b、及吹淨階段357b。
在前驅物暴露階段351b(可對應至圖2C之操作251)中,流動惰性氣體及流動無氯之矽前驅物,但關閉矽烷流與氮流。在此階段期間關閉電漿。
在吹淨階段353b(可對應至圖2C之重覆操作253)中,流動惰性氣體但關閉無氯之矽前驅物、矽烷、及氮氣。在此階段未點燃電漿。
在反應物暴露階段355b(可對應至圖2C之重覆操作255)中,流動惰性氣體但關於無氯之矽前驅物與矽烷氣體流。在此階段期間開啟氮氣流並開啟電漿。
在吹淨階段357b(可對應至圖2C之重覆操作257)中,流動惰性氣體但關閉無氯之矽前驅物、矽烷、及氮氣流。在此階段未點燃電漿。
雖然在ALD階段350中顯示了兩個ALD循環,當瞭解在各種實施例中,可使用兩個以上的循環沉積包覆層以形成具有某些期望特性如厚度、保形性、密度、及密封性的包覆層。 設備
圖4顯示具有用以維持低壓環境之製程室體402之原子層沉積(ALD)製程站400之一實施例的概圖。在一共同的低壓製程設備環境中可包含複數ALD製程站400。例如,圖5顯示一多站製程設備500之一實施例。在某些實施例中,可藉由一或多個電腦控制器450以程式方式調整ALD製程站400的一或多個硬體參數,此些硬體參數包含下文中所詳細討論的硬體參數。例如,可程式化一或多個電腦控制器450以進行根據某些所揭露之實施例的PP-PECVD、PEALD、或兩者。
ALD製程站400係與反應物輸送系統401a流體交流,反應物輸送系統401a係用以將製程氣體輸送至分散噴淋頭406。反應物輸送系統401a包含用以混合及/或調整欲輸送至噴淋頭406之製程氣體的混合容器404,製程氣體例如是矽烷、含鹵素之矽前驅物如含碘及/或含溴之矽氣體、氮氣、含氮氣體、氫氣。一或多個混合容器入口閥420可控制製程氣體至混合容器404的導入。在某些實施例如藉由PP-PECVD沉積的實施例中,亦可將氮電漿、或氫電漿輸送至噴淋頭406、或可在ALD製程站400中產生氮電漿、或氫電漿。
例如,圖4的實施例包含用以蒸發欲供給至混合容器404之液體反應物的蒸發點403。在某些實施例中,蒸發點403可以是一經加熱的蒸發器。自此類蒸發器所產生之飽和的反應物蒸氣可在下游輸送管線中凝結。不匹配之氣體暴露至已凝結的反應物可能會產生小粒子。此些小粒子可阻塞管線、阻礙閥件操作、污染基板等。解決此些問題的某些方法涉及掃除及/或排空輸送管線以移除剩餘的反應物。然而,掃除輸送管線可增加製程站週期時間、不利製程站產量。是以,在某些實施例中,可熱追蹤蒸發點403下游的輸送管線。在某些實例中,亦可熱追蹤混合容器404。在一非限制性的實例中,蒸發點403下游的管線具有較高溫度的輪廓自約100°C延伸至混合容器404處的約150°C。
在某些實施例中,可在液體注射器處蒸發液體前驅物或液體反應物。例如,液體注射器可將液體反應物的脈衝注射至混合容器上游的載氣流中。在一實施例中,液體注射器可藉著瞬間使液體自較高壓力變為較低壓力來蒸發反應物。在另一實例中,液體注射器可將液體原子化為分散微滴,此些分散微滴接著在經加熱的輸送管線中蒸發。較小的液滴比較大的液滴更快蒸發,因此可降低液體注射與完成蒸發之間的延遲。較快蒸發可減少蒸發點403下游之管線的長度。在一情況中,液體注射器可直接架設至混合容器404。在另一情況中,液體注射器可直接架設至噴淋頭406。
在某些實施例中,可提供蒸發點403上游的液流控制器(LFC)以控制蒸發及輸送至處理站400之液體的質量流量。例如, LFC可包含位於LFC下游的熱質量流量計(MFM)。接著可調整LFC的柱塞閥以回應與MFM電交流之比值-積分-微分(PID)控制器所提供的反饋控制訊號。然而,利用反饋控制可能要花一秒或更久才能穩定液流。這可能會延長液態反應物的給劑時間。是以,在某些實施例中,LFC可動態地在反饋控制模式與直接控制模式之間切換。在某些實施例中,可藉由使LFC與PID控制器的感測管失效來達成。
噴淋頭406朝向基板412分散處理氣體。在圖4所示的實施例中,基板412係位於噴淋頭406下方且被顯示為座落於平臺408上。噴淋頭406可具有任何適合的形狀且可具有任何適合數目與配置的接口以將處理氣體分散至基板412。
在某些實施例中,可舉升或降低平臺408以將基板412暴露至介於基板412與噴淋頭406之間的體積。應明白,在某些實施例中,可藉由適合的電腦控制器450以程式方式調整平臺高度。
在另一情況中,在點燃電漿的實施例中調整平臺408的高度可變化處理之電漿活化循環期間的電漿密度。在完成處理階段時,可在另一基板傳送階段期間降低平臺408以自座臺408移除基板412。
在某些實施例中,可藉由加熱器410溫度控制平臺408。在某些實施例中,在如所揭露之實施例沉積雙層矽氮化物薄膜的期間可將平臺408加熱至至少約250°C的溫度或在某些實施例中低於約300°C如約250°C的溫度。在某些實施例中,將平臺的溫度設定在介於約50°C至約300°C之間如介於約200°C至約275°C之間的溫度。在某些實施例中,將平臺的溫度設定在介於約50°C至約300°C之間。在某些實施例中,將平臺的溫度設定在介於約200°C至約275°C之間。
又,在某些實施例中,可藉由碟閥418提供製程站400的壓力控制。如圖4之實施例中所示,蝶閥418調節下游真空泵浦(未顯示)所提供的真空。然而,在某些實施例中,製程站400的壓力控制亦可藉由變化導入至製程站400之一或多種氣體的流率來加以調整。
在某些實施例中,可調整噴淋頭406相對於平臺408的位置以變化基板412與噴淋頭406之間的體積。又,應明白,可藉由本發明範圍內的任何適當機制變化平臺408及/或噴淋頭406的垂直位置。在某些實施例中,平臺408可包含用以旋轉基板412之方位的旋轉軸。應明白,在某些實施例中,可藉由一或多個適當的電腦控制器450以程式方式進行一或多種此類例示性調整。
在如上討論之使用電漿的某些實施例中,噴淋頭406與座臺408係與用以對電漿供給能量的射頻(RF)電源414與匹配網路416電交流。在某些實施例中,可藉著控制製程站壓力、氣體濃度、RF源功率、RF源頻率及電漿功率脈衝時點中的一或多者來控制電漿能量。例如,可在任何適當的功率下操作RF電源414與匹配網路416以產生具有期望之電漿物種組成的電漿。適當功率的實例已包含於上面段落。類似地,RF電源414可提供具有任何適當頻率的RF功率。在某些實施例中,RF電源414可用以彼此獨立地控制高頻與低頻RF電源。低頻RF頻率的實例可包含但不限於介於0 kHz至500 kHz之間的頻率。高頻RF頻率的實例可包含但不限於介於1.8 MHz至2.45 GHz之間的頻率、或高於約13.56 MHz、或高於27 MHz、或高於40 MHz、或高於60 MHz的頻率。應明白,可以離散或連續的方式調變任何適當的參數以提供表面反應用的電漿能量。
可藉由一或多個電漿監測器原地監測電漿。在一情況中,可藉由一或多個電壓、電流感測器(如VI探針)監測電漿功率。在另一情況中,可藉由一或多個光發射光譜(OES)感測器量測電漿密度及/或製程氣體濃度。在某些實施例中,可基於來自此類原地監測器的量測值以程式方式調整一或多個電漿參數。例如,可在提供電漿功率之程式化控制的反饋迴路中使用OES感測器。應明白,在某些實施例中,可使用其他監測器監測電漿與其他製程特性。此類監測器可包含但不限於紅外線(IR)監測器、聲學監測器及壓力傳感器。
在某些實施例中,可藉由輸入/輸出控制(IOC)序列指令提供控制器450之指令。在一實例中,設定製程階段用之條件的指令可被包含在製程配方的對應配方階段中。在某些情況中,製程配方階段可依順序配置,故一製程階段的所有指令係與該製程階段同步執行。在某些實施例中,可將用以設定一或多個反應器參數的指令包含於一配方階段中。例如,一配方階段可包含用以設定惰性氣體及/或第一反應物氣體(如無氯之矽烷)之流率的指令、用以設定載氣(如氦氣)之流率的指令、用以設定第二反應物氣體(如氮氣或氫氣)之流率的指令、及用以脈動電漿的指令。
在另一實例中,第一配方階段可包含用以設定惰性氣體及/或反應物氣體(如第一前驅物如含碘及/或含溴之矽前驅物)之流率的指令、用以設定載氣(如氦氣)之流率的指令、及第一配方階段用之時間延遲指令。一接續的第二配方階段可包含用以調制或停止惰性氣體及/或反應氣體之流率的指令、用以調制載氣或吹淨氣體之流率的指令、及第二配方階段用的時間延遲指令。一第三配方階段可包含用以調制第二反應物如氮氣之流率的指令、用以在第三配方階段期間點燃電漿的指令、用以調制載氣或吹淨氣體之流率的指令、及第三配方階段用的時間延遲指令。一接續的第四配方階段可包含用以調制或停止惰性氣體及/或反應氣體之流率的指令、用以調制載氣或吹淨氣體之流率的指令、及第四配方階段用的時間延遲指令。應明白,在本發明的範疇內此些配方階段可以任何適合的方式被更進一步地分割及/或重覆。在某些實施例中,控制器450可包含下面所述之與圖5之系統控制器550相關的任何特徵。
如上所述,可將一或多個製程站包含於一多站基板製程設備中。圖5顯示顯示具有入口加載互鎖裝置502與出口加載互鎖裝置504之多站製程設備500之一實施例的概圖,入口加載互鎖裝置502與出口加載互鎖裝置504中的一者或兩者可包含遠端電漿源。在大氣壓處的機器人506係用以將晶圓自經由艙508載入入口加載互鎖裝置502中之晶圓盒藉由大氣接口510移動。在入口加載互鎖裝置502中,機器人506將晶圓放置到平臺512上,然後大氣接口510關閉,泵抽加載互鎖裝置。在入口加載互鎖裝置502包含遠端電漿源的情況中,在晶圓被導入至製程室514中之前,可在加載互鎖裝置中將晶圓暴露至遠端電漿處理。又,亦可在入口加載互鎖裝置502中加熱晶圓以例如移除水氣及經吸附的氣體。接下來,通至製程室514的室傳輸接口516開啟,另一機器人518將晶圓放置到製程用之製程站580、582、584中的一者中、或通至製程用之製程站590的加載站中。雖然圖5中的實施例顯示加載互鎖裝置,但應瞭解,在某些實施例中,可提供使晶圓直接進入製程站的作法。
所示的製程室514包含四個製程站580、582、582、及590。製程站590可為可移除的模組且可適合用以一次處理一片以上的基板。在此實例中,製程站590包含四個子站,在圖5所示的實施例中編號為1-4。
每一製程站(580、582、584、及1、2、3、與4中的每一者)可具有經加熱的平臺及氣體線入口。應明白,  在某些實施例中,每一製程站可具有不同或多重目的。例如, 在某些實施例中,製程站580可用以蝕刻基板、製程站582可用以清理基板、而製程站584可用以進行PP-PECVD。製程站590可用以進行 PEALD。製程站可在PP-PECVD、 ALD、及電漿增強ALD製程模式之間切換。 此外或或者,在某些實施例中,製程室514可包含一或多對相匹配的ALD站與電漿增強ALD製程站。雖然在所示的製程站590中包含四個子站,但應瞭解,根據本發明之製程室可具有任何適合數目的子站。此外,雖然多站製程設備500包含四站(580、582、584、590),但應瞭解, 在某些實施例中,設備可包含比四站更多或更少的製程站,且每一站可為可移除或可修改的模組。例如, 在某些實施例中,製程室可包含五或更多的製程站,但在其他實施例中製程室可包含三或更少的製程站。
圖5顯示用以在多站製程設備500內傳輸晶圓用之晶圓搬運系統518的一實施例。在某些實施例中,晶圓搬運系統可在各種製程站之間及/或在一製程站與一裝載互鎖機構之間傳輸晶圓。此外,製程站590可包含用以將晶圓自另一裝載站562移動至製程站590的分離晶圓搬運系統560。應明白,可使用各種適合的晶圓搬運系統。非限制性實例包含晶圓轉盤及晶圓搬運機器人。
在各種實施例中,整合各種製程站可解決面積縮減的考量並能切換將晶圓傳輸至在不同壓力操作之不同製程模組的能量。在某些實施例中,主設備與上下快速循環壓力的製程模組之間可存在傳統的微傳送站。
圖5亦顯示用以控制製程設備500之製程條件與硬體狀態之系統控制器550的一實施例。系統控制器550可包含一或多個記憶體裝置556、一或多個大量儲存裝置554、及一或多個處理器552。處理器552可包含CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制器板等。
在某些實施例中,系統控制器550控制處理設備500的所有活動。系統控制器550執行系統控制軟體558,系統控制軟體558係儲存在大量儲存裝置554中、被載入記憶體裝置556中、然後在處理器552上執行。或者,可將控制邏輯硬編碼至控制器550中。針對此些目的可使用應用特定積體電路、可程式化之邏輯裝置(如場可程式化之閘極陣列FPGA、或複數FPGA)等。在下面討論中使用到「軟體」或「程式碼」之處,可使用功能相當的硬編碼邏輯來代替。系統控制軟體558可包含用以控制下列者的指令:時序、氣體混合物、氣體流率、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板平臺、夾頭及/或基座位置、及製程工具500所執行之特定製程的其他參數。
在某些實施例中,系統控制軟體558包含輸入/輸出控制(IOC)序列指令以控制上述各種參數。在某些實施例中可使用儲存在與系統控制器550相關之大量儲存裝置554及/或記憶體裝置 556上的其他電腦軟體及/或程式。為了此目的之程式或程式區段的實例包含基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含某些製程工具元件的程式碼,此些製程工具元件係用以將基板加載至平臺512上並控制基板與製程工具500之其他部件之間之間距。
製程氣體控制程式可包含用以控制氣體組成(例如文中所述之含碘之矽前驅物氣體與含氮氣體、載氣與吹淨氣體)與流率的程式碼、及選擇性地控制在沉積前流入一或多個製程站的氣體以穩定製程站中的壓力的程式碼。壓力控制程式可包含用以藉由調節如製程站之排放系統中之節流閥、流至製程站中之氣體等而控制製程站中之壓力的程式碼。
加熱器控制程式可包含用以控制流至用以加熱基板之加熱單元之電流的程式碼。或者,加熱器控制程式可控制輸送至基板之熱傳輸氣體(如氦氣)之輸送。
電漿控制程式可包含用以根據文中實施例設定施加至一或多個製程站中之製程電極之RF功率位準的程式碼。
壓力控制程式可包含用以根據文中實施例而維持反應室中之壓力的程式碼。
在某些實施例中,有與系統控制器550相關的使用者介面。使用者介面可包含顯示螢幕、該設備及/或製程條件的圖形化軟體顯示、及使用者輸入裝置如指向裝置、鍵盤、觸控螢幕。
在某些實施例中,系統控制器550所調整的參數可與製程條件相關。非限制性實例包含製程氣體組成與流率、溫度、壓力、電漿條件(如RF偏壓功率位準)等。可以配方形式將此些參數提供予使用者,可利用使用者介面進入配方。
可由系統控制器550的類比及/或數位輸入連接件提供來自各種製程工具感測器之用以監控製程的訊號。控制製程的訊號係於製程工具500的類比及數位輸出連接件上輸出。可被監測之製程工具感測器的非限制性實例包含質量流量控制器、壓力感測器(如壓力計)、熱耦等。可使用經適當程式化的反饋與控制演算法以及來自此些感測器的數據,維持製程條件。
系統控制器550可提供用以實施上述沉積製程的程式指令。程式指令可控制各種製程參數如DC功率位準、RF偏壓功率位準、壓力、溫度等。指令可控制參數以操作根據文中之各種實施例的包覆雙層的原地沉積。
系統控制器550通常包含一或多個記憶體裝置及一或多個處理器,處理器可用以執行指令俾使設備能進行根據所揭露之實施例的方法。可將包含用以根據所揭露之實施例控制製程操作之指令的機器可讀媒體耦合至系統控制器。
在某些實施例中,系統控制器550為系統的一部分,系統可為上述實例的一部分。此類系統包含半導體製程設備,半導體製程設備包含製程工具或複數工具、製程室或複數製程室、製程平臺或複數平臺、及/或特定的製程元件(晶圓平臺、氣體流動系統等)。此些系統係與一些電子裝置整合,此些電子裝置係用以在半導體晶圓或基板的處理之前、期間及之後控制系統的操作。此些電子裝置係稱為「控制器」,其可控制系統或複數系統的各種元件或子部件。取決於製程需求及/或系統類型,系統控制器550可被程式化以控制文中所揭露的任何製程,製程包含製程氣體的輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置與操作設定、晶圓傳輸進入或離開設備與連接至特定系統或與特定系統具有界面的其他傳輸設備及/或裝載互鎖機構。
概括地說,系統控制器550可被定義為具有各種積體電路、邏輯、記憶體及/或軟體的電子裝置,其可接收指令、發佈指令、控制操作、致能清理操作、致能終點量測等。積體電路可包含儲存了程式指令之具有韌體形式的晶片、數位訊號處理器(DSP)、被定義為特殊應用積體電路(ASIC)的晶片、及/或能執行程式指令(如軟體)的一或多個微處理器或微控制器。程式指令可為與系統控制器550通訊之具有各種獨立設定(或程式檔案)形式的指令,其定義為了在半導體晶圓上、或針對半導體晶圓、或對系統進行特定製程所用的操作參數。在某些實施例中,操作參數為製程工程師為了完成一或多膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓之晶粒之製造期間的一或多個製程步驟所定義之配方的一部分。
在某些實施例中系統控制器550為整合至系統、耦合至系統、藉由網路連接至系統、或其組合的電腦的一部分或控制器耦合至電腦。例如,系統控制器550係位於「雲端」中或工廠主機電腦系統的全部或部分中,這允許使用者遠端接取晶圓製程。電腦可致能遠端接取系統以監控製造操作的目前進展、檢視過去製造操作的歷程、自複數處理操作檢視驅勢或效能度量、改變現有處理的參數、設定製程步驟以符合現有處理、或開始一新的製程。在某些實施例中,遠端電腦(或伺服器)可經由電腦網路對系統提供製程配方,電腦網路包含區域網路或網際網路。遠端電腦可包含使用者介面,使用者介面讓使用者能進入或程式化參數及/或設定,然後自遠端電腦與系統通訊。在某些實例中,系統控制器550接收數據形式的指令,此些指令指定在一或多個操作期間欲進行之每一製程步驟用的複數參數。應瞭解,複數參數係特別針對欲施行之製程的類型及控制器用以交界或控制之設備的類型。因此如上所述,可分散系統控制器550如藉著包含一或多個藉由網路互連並朝向共同目的如文中所述之製程與控制工作的離散控制器。為了此類目的的分散控制器的實例包含製程室上的一或多個積體電路,其係與一或多個位於遠端(例如位於平臺位準處或為遠端電腦的一部分)的積體電路通訊而共同控制製程室中的製程。
不受限地,例示性的系統可包含電漿蝕刻室或模組、沉積室或模組、旋轉沖洗室或模組、金屬鍍室或模組、清理室或模組、邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、ALD室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、軌道室或模組、及和半導體晶圓之製造相關或用於製造的任何其他半導體製程系統。
如上所述,取決於設備所欲進行的製程步驟或複數步驟,系統控制器550可與下列的一或多者通訊交流:其他設備電路或模組、其他設備的元件、叢集設備、其他設備的界面、相鄰設備、鄰近設備、位於工廠內的設備、主電腦、另一控制器、或半導體製造工廠中用以將晶圓容器載入與載出設備位置及/或裝載接口的材料運輸用設備。
在下列文獻中更進一步討論與說明進行文中所揭露之方法用的適當設備:2011年4月11日申請之名為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」的美國專利申請案US 13/084,399 (現為美國專利US 8,728,956);及2011年4月11日申請之名為「SILICON NITRIDE FILMS AND METHODS」的美國專利申請案US 13/084,305,將上述每一者之全部內容包含於此作為參考。
文中所述的設備/製程可與微影圖案化設備或製程一起使用,例如用以製造半導體裝置、顯示器、LED、光伏面板等的微影圖案化設備或製程。一般而言,雖然沒有必要,但此些設備/製程會在一共同的製造廠房中一起使用或進行。薄膜的微影圖案化通常包含下列操作的部分者或全部,每一操作可由許多可能的設備達成:(1)利用旋塗或噴塗設備將光阻施加至一工作件即基板上;(2)利用熱板、爐管或UV固化設備固化光阻;(3)利用一設備如晶圓步進機將光阻曝露至可見光或UV或X射線;(4)利用一設備如濕式槽顯影光阻以選擇性地移除光阻藉此將其圖案化;(5)利用一乾式或電漿輔助蝕刻設備將光阻圖案轉移至下方膜層或工作件中;及(6)利用一設備如RF或微波電漿光阻剝除設備移除光阻。 實驗 實驗1
在蝕刻與清理後,將基板上之相變化材料的堆疊暴露至二碘矽烷與氮電漿之交替脈動60秒以沉積40Å之矽氮化物。觀察在此圖案化基板上方材料的整體保形性,在堆疊之間之底部處的沉積具有約37.2Å的厚度,而在特徵部之上部處的沉積具有約24.8Å的厚度。在薄膜的TEM影像中觀察到某些相變化材料損傷。
在蝕刻與清理後,將基板上之相變化材料的堆疊暴露至矽烷氣體 與氮氣並同時使電漿在ON與OFF相之間脈動一段時間以沉積25Å之矽氮化物,得到在相變化材料上約5-7Å的沉積及在特徵部之上部處12-15Å 的沉積。接著,將基板暴露至二碘矽烷與氮電漿之交替脈動60秒以沉積40Å之矽氮化物。以TEM影像觀察,發現所得之基板並未對相變化材料造成損傷。 實驗2
在經脈動之電漿PECVD之矽氮化物薄膜的三種不同工作週期上進行實驗。在稀釋之氫氟酸中評估濕式蝕刻率。第一矽氮化物薄膜係以100%工作週期沉積(連續電漿開啟,無脈動),相較於矽氧化物得到26.2的濕式蝕刻率比值。相對地,以30%工作週期脈動沉積的第二薄膜得到約5.3的濕式蝕刻率比值,以15%工作週期脈動沉積的第三薄膜得到約4.3的濕式蝕刻率比值。此類結果係顯示於圖6中。此些結果顯示,相較於不使用脈動所沉積之高濕式蝕刻率矽氮化物薄膜,使用PP-PECVD能大幅改善/降低濕式蝕刻率。實驗結果顯示濕式蝕刻率下降和工作週期與薄膜是相依的。 結論
雖然已提供某些細節以提供對所述實施例的清楚瞭解,但應明白,在隨附之申請專利範圍的範疇內可進行某些變化及修改。應注意,有許多實施本發明實施例之製程、系統、及設備的替代方式。因此,本發明實施例應被認為是例示性而非限制性的且實施例不限於文中所提供的細節。此外,文中所列舉的範圍在某些實施例中應被理解為包含範圍之端點數值。
100:基板 101:氧化物層 103:鎢層 105:碳層 107:硫族化物層 109:氮化物層 115:第二碳層 117:第二硫族化物層 125:第三碳層 210:操作 230:操作 231:操作 233:操作 250:操作 251:操作 253:操作 255:操作 257:操作 259:操作 300:製程 330:階段 350:階段 351a:前驅物暴露階段 353a:吹淨階段 355a:反應物暴露階段 357a:吹淨階段 351b:前驅物暴露階段 353b:吹淨階段 355b:反應物暴露階段 357b:吹淨階段 400:製程站 401a:反應物輸送系統 402:製程室體 403:蒸發點 404:混合容器 406:噴淋頭 408:平臺 410:加熱器 412:基板 414:RF電源 416:匹配網路 418:蝶閥 420:混合容器入口閥 450:電腦控制器 500:多站製程設備 502:入口加載互鎖裝置 504:出口加載互鎖裝置 506:機器人 508:艙 510:大氣接口 512:平臺 514:製程室 516:室傳輸接口 518:機器人/晶圓搬運系統 550:系統控制器 552:處理器 554:大量儲存裝置 556:記憶體裝置 558:系統控制軟體 560:晶圓搬運系統 562:裝載站 580:製程站 582:製程站 584:製程站 590:製程站
圖1為一例示性基板的概圖。
圖2A-2C之製程流程圖顯示根據某些所揭露之實施例進行的例示性方法的操作。
圖3之時序圖顯示在根據某些所揭露之實施例之方法中的循環實例。
圖4為用以進行所揭露之實施例之一例示性處理室的概圖。
圖5為用以進行所揭露之實施例之一例示性製程工具的概圖。
圖6顯示在根據某些所揭露之實施例進行的一實驗中利用變化工作週期所沉積之矽氮化物薄膜的濕蝕刻率比。
300:製程
330:階段
350:階段
351a:前驅物暴露階段
353a:吹淨階段
355a:反應物暴露階段
357a:吹淨階段
351b:前驅物暴露階段
353b:吹淨階段
355b:反應物暴露階段
357b:吹淨階段

Claims (20)

  1. 一種基板製程方法,包含: 提供包含硫族化物材料之一或多暴露層的一基板; 藉著將該硫族化物材料之一或多暴露層暴露至一經脈動之無鹵素電漿以沉積一第一矽氮化物層;及 在沉積該第一矽氮化物層之後,藉由使用一含鹵素之含矽前驅物與一含氮反應物之複數交替脈動的原子層沉積在該第一矽氮化物層上沉積一第二矽氮化物層。
  2. 如申請專利範圍第1項之基板製程方法,其中該第一矽氮化物層係利用脈動電漿式之電漿增強化學氣相沉積所沉積。
  3. 如申請專利範圍第1項之基板製程方法,其中在將該第二矽氮化物層沉積至該硫族化物材料之一或多暴露層上方時,該第一矽氮化物層避免該硫族化物材料之一或多暴露層受到損傷。
  4. 如申請專利範圍第1項之基板製程方法,其中在該硫族化物材料之一或多暴露層的一側壁上將該第一矽氮化物層沉積至介於約5Å至約10Å的一厚度。
  5. 如申請專利範圍第1項之基板製程方法,其中在包含一矽烷前驅物與氨的一環境中點燃該經脈動之無鹵素電漿。
  6. 如申請專利範圍第5項之基板製程方法,其中以含氮的一混合物將該矽烷前驅物導入至該矽烷前驅物與氨的環境。
  7. 如申請專利範圍第6項之基板製程方法,其中在該混合物中該矽烷前驅物對氮的一比值至少約為30:1。
  8. 如申請專利範圍第5項之基板製程方法,其中以含氫的一混合物將該氨導入該矽烷前驅物與氨的環境。
  9. 如申請專利範圍第8項之基板製程方法,其中在該混合物中該氨對氫的一比值至少約為30:1。
  10. 如申請專利範圍第1至9項中任一項之基板製程方法,其中在介於約5%至約20%的一工作週期下脈動該無鹵素電漿。
  11. 如申請專利範圍第1至9項中任一項之基板製程方法,更包含在沉積該第一矽氮化物層之後與沉積該第二矽氮化物層之前,將該第一矽氮化物層暴露至一後處理之電漿以緻密化該第一矽氮化物層。
  12. 如申請專利範圍第1至9項中任一項之基板製程方法,其中在該第二矽氮化物層之原子層沉積期間之該含氮反應物之該脈動包含有氮電漿無氫的一脈動與有氫電漿無氮的一脈動的複數交替循環。
  13. 如申請專利範圍第1至9項中任一項之基板製程方法,其中該含氮反應物為無氫的,且該含鹵素之含矽前驅物包含碘、溴、及其組合。
  14. 如申請專利範圍第1至9項中任一項之基板製程方法,更包含在沉積該第一矽氮化物層之前,蝕刻包含該硫族化物材料之一或多暴露層之該基板,其中在不破真空的情況下進行該蝕刻與該第一矽氮化物層的該沉積。
  15. 一種基板製程方法,包含: 提供包含硫族化物材料之一或多暴露層的一基板; 藉由下列方式在該硫族化物材料上方形成一包覆雙層,該包覆雙層包含具有一第一密度的一第一矽氮化物層與具有一第二密度的一第二矽氮化物層: 直接在該硫族化物材料之一或多暴露層上沉積具有該第一密度之該第一矽氮化物層;及 在具有該第一密度的該第一矽氮化物層上方沉積具有該第二密度的該第二矽氮化物層, 其中該第一密度係小於該第二密度,及 其中該第一矽氮化物層係位於該硫族化物材料之一或多暴露層與該第二矽氮化物層之間。
  16. 如申請專利範圍第15項之基板製程方法,其中在該硫族化物材料之一或多暴露層的一側壁上該第一矽氮化物層係沉積至介於約5Å至約10Å的一厚度。
  17. 如申請專利範圍第15項之基板製程方法,其中該第一矽氮化物層係藉著將該硫族化物材料之一或多暴露層暴露至一經脈動之電漿而加以沉積。
  18. 如申請專利範圍第15項之基板製程方法,其中該第一密度係低於約2.5 g/cm3 ,且該第二密度係高於約2.6 g/cm3
  19. 如申請專利範圍第15至18項中任一項之基板製程方法,更包含在沉積該第一矽氮化物層之後與沉積該第二矽氮化物層之前,將該第一矽氮化物層暴露至一後處理之電漿以將該第一矽氮化物層緻密化至介於該第一密度與該第二密度之間的一密度。
  20. 一種基板製程設備,包含: 一蝕刻模組,係用以蝕刻具有硫族化物材料之一或多層的一半導體基板,以在該半導體基板上形成具有堆疊形式之複數硫族化物材料的一圖案; 一沉積模組,係用以利用脈動電漿式電漿增強化學氣相沉積與電漿增強原子層沉積在該半導體基板上沉積一包覆雙層;及 一晶圓傳送工具,用以在不破真空的情況下在該蝕刻模組與該沉積模組之間傳送該半導體基板。
TW108130393A 2018-08-24 2019-08-26 硫族化物材料的保形無損傷包覆 TW202022152A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/112,503 US11239420B2 (en) 2018-08-24 2018-08-24 Conformal damage-free encapsulation of chalcogenide materials
US16/112,503 2018-08-24

Publications (1)

Publication Number Publication Date
TW202022152A true TW202022152A (zh) 2020-06-16

Family

ID=69583604

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108130393A TW202022152A (zh) 2018-08-24 2019-08-26 硫族化物材料的保形無損傷包覆

Country Status (5)

Country Link
US (2) US11239420B2 (zh)
KR (1) KR20210037728A (zh)
CN (1) CN112997291A (zh)
TW (1) TW202022152A (zh)
WO (1) WO2020041508A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
FR3058162B1 (fr) * 2016-11-02 2021-01-01 Commissariat Energie Atomique Procede de depot de films minces de chalcogenure
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US20200381623A1 (en) * 2019-05-31 2020-12-03 Applied Materials, Inc. Methods of forming silicon nitride encapsulation layers
US20220044930A1 (en) * 2020-08-06 2022-02-10 Applied Materials, Inc. Pulsed-plasma deposition of thin film layers
US11665983B2 (en) 2020-12-11 2023-05-30 International Business Machines Corporation Phase change memory cell with ovonic threshold switch
GB2613821B (en) * 2021-12-15 2024-05-08 Oxford Instruments Nanotechnology Tools Ltd Methods of depositing materials onto 2-dimensional layered materials

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6656840B2 (en) * 2002-04-29 2003-12-02 Applied Materials Inc. Method for forming silicon containing layers on a substrate
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7163860B1 (en) 2003-05-06 2007-01-16 Spansion Llc Method of formation of gate stack spacer and charge storage materials having reduced hydrogen content in charge trapping dielectric flash memory device
JP4410497B2 (ja) 2003-06-17 2010-02-03 東京エレクトロン株式会社 成膜方法
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP2005163084A (ja) 2003-12-01 2005-06-23 Mitsui Chemicals Inc シリコン薄膜の製膜方法
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
JP4074281B2 (ja) 2004-09-14 2008-04-09 株式会社東芝 磁気ランダムアクセスメモリ
US20070054450A1 (en) 2005-09-07 2007-03-08 Magic Technologies, Inc. Structure and fabrication of an MRAM cell
US20070080381A1 (en) 2005-10-12 2007-04-12 Magic Technologies, Inc. Robust protective layer for MTJ devices
JP2007281181A (ja) 2006-04-06 2007-10-25 Elpida Memory Inc 半導体装置の製造方法
US20080224305A1 (en) 2007-03-14 2008-09-18 Shah Amip J Method, apparatus, and system for phase change memory packaging
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
KR100962019B1 (ko) 2008-06-30 2010-06-08 주식회사 하이닉스반도체 보호막을 포함하는 상변화 메모리 소자 및 그 제조방법
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
JP2010103303A (ja) 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US9111856B2 (en) * 2008-12-30 2015-08-18 Micron Technology, Inc. Method for fabricating a phase-change memory cell
JP4810581B2 (ja) 2009-03-25 2011-11-09 株式会社東芝 不揮発性記憶装置
US8558295B2 (en) 2009-08-25 2013-10-15 Electronics And Telecommunications Research Institute Nonvolatile memory cell and method of manufacturing the same
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8465811B2 (en) * 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
JP5941653B2 (ja) 2011-02-24 2016-06-29 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及びシリコン窒化膜の成膜装置
JP2012204408A (ja) 2011-03-23 2012-10-22 Toshiba Corp 半導体装置の製造方法
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR102029286B1 (ko) * 2012-03-09 2019-10-07 버슘머트리얼즈 유에스, 엘엘씨 디스플레이 디바이스를 위한 배리어 물질
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9514932B2 (en) 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
JP2014038968A (ja) 2012-08-17 2014-02-27 Ps4 Luxco S A R L 半導体装置の製造方法
WO2014030393A1 (ja) 2012-08-20 2014-02-27 日本電気株式会社 抵抗変化素子、および抵抗変化素子の製造方法
US8716136B1 (en) 2012-10-19 2014-05-06 Globalfoundries Inc. Method of forming a semiconductor structure including a wet etch process for removing silicon nitride
US9446965B2 (en) 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US9818763B2 (en) * 2013-07-12 2017-11-14 Semiconductor Energy Laboratory Co., Ltd. Display device and method for manufacturing display device
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US9397143B2 (en) 2013-12-20 2016-07-19 Intel Corporation Liner for phase change memory (PCM) array and associated techniques and configurations
US9806129B2 (en) 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US10249819B2 (en) * 2014-04-03 2019-04-02 Micron Technology, Inc. Methods of forming semiconductor structures including multi-portion liners
US9299853B1 (en) * 2014-09-16 2016-03-29 Eastman Kodak Company Bottom gate TFT with multilayer passivation
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
DE102015102454A1 (de) * 2015-02-20 2016-08-25 Osram Opto Semiconductors Gmbh Verfahren zur Strukturierung einer Nitridschicht, strukturierte Dielektrikumschicht, optoelektronisches Bauelement, Ätzverfahren zum Ätzen von Schichten und Umgebungssensor
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US11124876B2 (en) * 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10246772B2 (en) * 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
CN107923040B (zh) * 2015-07-31 2021-06-25 弗萨姆材料美国有限责任公司 用于沉积氮化硅膜的组合物和方法
KR102188750B1 (ko) * 2015-09-11 2020-12-08 버슘머트리얼즈 유에스, 엘엘씨 콘포말한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법 및 얻어진 막
US9601693B1 (en) * 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US20190013353A1 (en) * 2016-03-07 2019-01-10 Intel Corporation Approaches for integrating stt-mram memory arrays into a logic processor and the resulting structures
US20170323785A1 (en) 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
US10134757B2 (en) * 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US20180148833A1 (en) * 2016-11-25 2018-05-31 Applied Materials, Inc. Methods for depositing flowable silicon containing films using hot wire chemical vapor deposition
US11948810B2 (en) * 2017-11-15 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for processing substrates or wafers
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials

Also Published As

Publication number Publication date
US11832533B2 (en) 2023-11-28
US11239420B2 (en) 2022-02-01
US20200066987A1 (en) 2020-02-27
US20220115592A1 (en) 2022-04-14
CN112997291A (zh) 2021-06-18
KR20210037728A (ko) 2021-04-06
WO2020041508A1 (en) 2020-02-27

Similar Documents

Publication Publication Date Title
US10141505B2 (en) Bromine containing silicon precursors for encapsulation layers
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
TW202022152A (zh) 硫族化物材料的保形無損傷包覆
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6538300B2 (ja) 感受性基材上にフィルムを蒸着するための方法
TWI595112B (zh) 次飽和之原子層沉積及保形膜沉積
TWI567225B (zh) 電漿活化保形膜沈積
US20180061650A1 (en) High dry etch rate materials for semiconductor patterning applications
KR20180106933A (ko) 실리콘 나이트라이드의 선택적 성장
KR20180013745A (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
TW201623682A (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
KR20140016201A (ko) 고 압력, 고 전력 플라즈마 활성화된 컨포멀 막 증착
TW202113142A (zh) 原子層沉積期間之膜特性的原位控制
TW202317798A (zh) 鉬的沉積