TW202018025A - 氫矽酸鹽樹脂塗佈組成物、產生組成物的方法、基板圖案化的方法、形成抗蝕膜的方法以及產生半導體裝置的方法 - Google Patents

氫矽酸鹽樹脂塗佈組成物、產生組成物的方法、基板圖案化的方法、形成抗蝕膜的方法以及產生半導體裝置的方法 Download PDF

Info

Publication number
TW202018025A
TW202018025A TW108128318A TW108128318A TW202018025A TW 202018025 A TW202018025 A TW 202018025A TW 108128318 A TW108128318 A TW 108128318A TW 108128318 A TW108128318 A TW 108128318A TW 202018025 A TW202018025 A TW 202018025A
Authority
TW
Taiwan
Prior art keywords
group
coating
composition
silicon
resist
Prior art date
Application number
TW108128318A
Other languages
English (en)
Inventor
湯瑪斯 葛達
亮堂 阮
馬可斯 勞卡南
基摩 卡瑞斯德
朱哈 連達拉
喬納森 葛蘭
Original Assignee
芬蘭商Pibond股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 芬蘭商Pibond股份有限公司 filed Critical 芬蘭商Pibond股份有限公司
Publication of TW202018025A publication Critical patent/TW202018025A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • C08G77/16Polysiloxanes containing silicon bound to oxygen-containing groups to hydroxyl groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/48Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/58Metal-containing linkages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Silicon Polymers (AREA)
  • Materials For Photolithography (AREA)
  • Formation Of Insulating Films (AREA)
  • Paints Or Removers (AREA)

Abstract

位於半導體基板上的含矽醇有機-無機混成塗層,用於在半導體基板上形成圖案。本發明的塗層可藉由以含金屬及矽醇的聚氫化倍半矽氧烷樹脂溶液塗佈半導體基板來產生。本文中亦提供一種利用特定波長下的光輻射對塗佈有含金屬及矽醇的聚氫化倍半矽氧烷的基板進行圖案化的方法,所述方法包括以下步驟:沿選定圖案輻照經塗佈的基板,以形成具有輻照塗層區域及未輻照塗層區域的輻照結構;以及選擇性地對輻照結構進行顯影,以移除大部分的未輻照塗層來形成圖案化的基板。本發明允許獲得預選矽醇含量的聚氫化倍半矽氧烷樹脂,且矽醇含量的調整將使得可獲得應用於EUV中的高度敏感型塗層。

Description

用於高解析圖案化之含矽醇有機-無機混成塗膜
本發明是有關於使用聚氫化倍半矽氧烷樹脂塗佈組成物(polyhydridosilsesquioxane resin coating composition)在基板上執行圖案化步驟的基於輻射的方法。
本發明更有關於水解前驅物溶液以及在圖案化前後利用所述前驅物溶液形成塗佈的基板及塗層,所述水解前驅物溶液可沈積至可藉由應用遮蔽輻射而以極高解析圖案化的聚氫化倍半矽氧烷樹脂塗層。
傳統上已藉由微影製程的進步而實現了微電子裝置中複雜電路的小型化,其中可定義特徵(definable feature)的限制受在光微影製程中使用的光波長的限制。所述行業已經由一般曝光工具過渡至自436奈米(g線)、405奈米(h線)、365奈米(i線)、248奈米(KrF準分子(excimer))且最終至193奈米(ArF準分子)所定義的波長(奧科羅揚武(Okoroanyanwu)2015,岡奈斯克(Gangnaik)等人,2017年,迪西蒙(De Simone)等人,2014)。
在248奈米及193奈米波長下使用的抗蝕材料為化學放大型抗蝕劑(chemically amplified resist,CAR),其組成及微結構已隨著在基板上印刷更精細特徵的需要而演進。作為此趨勢的延續,λ=13.5奈米(92電子伏特)的極紫外(extreme ultraviolet,EUV)波長為下一代微影的主要候選者(迪西蒙等人,2017)。
在過去的十年中,在EUV微影(EUV lithography,EUVL)的開發中,已進行了大量的投資以大規模生產積體電路。存在各種奈米微影技術,包括電子束微影(electron beam lithography,EBL)、軟微影、奈米壓印微影(nanoimprint lithography,NIL)、光子束微影(photon beam lithography,PBL)或被提議用來擴展可印刷於二維表面上的特徵的縮減(scaling down)的掃描探針微影(scanning probe lithography)。
EUVL的成功需要新的光阻材料,所述材料都有一定的優點及缺點。功能性光阻劑應提供RLS特性,即解析度(resolution,R)、線邊緣粗糙度(line-edge roughness,LER)及敏感度(sensitivity,S),如希金斯(Higgins)等人2011年所描述。
存在大量已被開發用來使用EUVL形成圖案的不同材料方法。在一般層面上,其可被分為三種不同的類別:有機抗蝕劑、矽系抗蝕劑及含金屬抗蝕劑。
常被稱為非CAR抗蝕劑的有機抗蝕劑可展示出充分的LER,但由於EUV吸收特性差而需要高劑量而處於非常不利的地位。為了提高抗蝕劑的敏感度,已採用CAR材料。另一方面,CAR材料的LER差,此主要是因CAR中光子散射雜訊(photon shot noise)及光酸發生器分佈的統計效應(statistical effect)而造成。
為了應對這兩種有機型抗蝕劑的挑戰,已經用抗蝕材料中包含的輻射敏感型組分製備了配方。具有13.5奈米EUV光子的高莫耳吸光率的元素為金屬。因此,人們越來越關注含金屬的抗蝕劑,其中金屬被添加至其他抗蝕材料以提高敏感度,或者金屬被用作分子抗蝕劑、金屬氧化物奈米顆粒、有機金屬前驅物或用作金屬-有機框架(framework)。
此類抗蝕劑已顯示出高敏感度、解析度,且因此被視為未來EUVL抗蝕劑的重要候選者。然而,此類抗蝕劑的主要缺點在於:因金屬可破壞電晶體的功能使得金屬在積體電路的製作中是極為不利的,且LER特性需要改進。此外,迪西蒙等人及渡邊(Watanabe)指出,在EUV掃描儀中,含金屬抗蝕劑中的金屬物質與原子H或自由基H*相互作用,形成金屬氫化物(Mx Hy ),此對光學壽命而言為嚴重風險。此外,高LER在金屬系抗蝕劑及化學放大型抗蝕劑二者中皆為問題(迪西蒙等人,2017)。
CAR的另一個缺點為耐蝕性低及圖案不穩定(格里戈雷蘇(Grigorescu)及哈根(Hagen)2009)。因此,CAR需要富矽中間層及富碳底層二者以將圖案轉移至基板。基於金屬及矽的無機樹脂具有簡化微影堆疊(lithographic stack)的益處,乃因無機中間層直接藉由EUVL圖案化。
氫矽酸鹽(hydrogen silsesquioxane,HSQ)為一種應用廣泛的負型電子束及EUVL抗蝕材料。由於氫矽酸鹽具有達成高密度圖案的潛力,即小於10奈米的節距結構,因此進行了大量研究來尋找最佳的製程。然而,氫矽酸鹽的敏感度極低,需要濃縮的顯影劑,且已知在處理期間相對不穩定,此使得其工業應用受到限制,如(楊(Yang)等人,2009)所述。
有幾個因素可促進開發一種適合EUVL的製程,例如顯影劑溫度、顯影劑濃度及顯影劑組成。儘管在先前技術中取得了進展,但仍在尋找提供RLS特性而無過度金屬污染的功能性光阻劑。
本發明的目的為提供新型的氫矽酸鹽樹脂塗佈組成物。
本發明的另一目的為提供產生新型氫矽酸鹽樹脂的方法。
本發明的第三個目的為提供利用光輻射對塗佈有聚氫化倍半矽氧烷的基板進行圖案化的方法。
本發明的又一目的為提供包括適合於藉由光輻射圖案化的輻射敏感型塗層的塗佈基板。
傳統的HSQ樹脂不含矽醇官能(silanol functionality)。在本發明中令人驚訝地發現,相較於HSQ樹脂,在HSQ樹脂中存在矽醇部分會大大地提高塗層的圖案形成能力。因此,相較於在迄今為止的文獻中所述者,此種含矽醇的聚氫化倍半矽氧烷在EUV微影中展示出更高的敏感度。
看起來存在於膜中的樹脂的矽醇基與藉由EUV自SiH產生的中間反應矽物質發生反應,但此僅為一種可能的解釋。
此外,已發現官能化基團的加入進一步增強了聚氫矽酸鹽(polyhydrogensilsesquioxane)樹脂的敏感度。加入含有官能基的含矽前驅物會增強在水性顯影劑中的溶解度,同時亦改善樹脂的敏感度。
另一個發現為,在一些實施例中,使用金屬氧化物化合物作為水解混合物的一部分或單獨添加金屬氧化物化合物作為添加劑或作為奈米顆粒會改善樹脂的敏感度。
因此,本發明提供一種氫矽酸鹽樹脂的溶液,相較於矽-氧網路(network)中的Si-H或Si-R、或者具有矽-碳鍵及矽-氫的矽-氧-金屬,所述溶液包含有機液體以及約0.001莫耳/升(M)至約1 M的矽醇。
可藉由使三官能矽烷(trifunctional silane)與其他含矽或金屬的前驅物進行水解/縮合反應,以獲得含金屬及矽醇的聚氫化倍半矽氧烷而產出此種含金屬及矽醇的聚氫化倍半矽氧烷樹脂溶液。
該些溶液可用於在半導體基板上產生澆鑄的含矽醇有機-無機混成塗層,以在其上面形成圖案。
本發明亦提供包括輻射敏感型塗層的塗佈基板,所述輻射敏感型塗層包括具有矽-碳鍵及矽-氫及/或具有矽醇鍵的矽-氧或矽-氧-金屬網路。
本發明進一步提供一種利用特定波長下的光輻射對塗佈有含金屬及矽醇的聚氫化倍半矽氧烷的基板進行圖案化的方法,所述方法包括以下步驟:沿選定圖案輻照經塗佈的基板,以形成具有輻照塗層區域及未輻照塗層區域的輻照結構;以及選擇性地對所述輻照結構進行顯影,以移除大部分的所述未輻照塗層來形成圖案化的基板。
此外,本發明提供一種利用特定波長下的光輻射對塗佈有含金屬及矽醇的聚氫化倍半矽氧烷的基板進行圖案化的方法,其中所述波長為13.5奈米或小於13.5奈米。
進一步而言,本發明提供一種圖案化的基板,所述圖案化的基板包括具有表面且在沿所述表面的選定區域處具有第一塗層而在沿所述表面的其他區域處不存在塗層的基板。一般而言,第一塗層包括具有矽-碳鍵及矽-氫及/或具有矽醇鍵的矽-氧或矽-氧-金屬網路。或者,所述第一塗層可溶於至少一些有機液體中,或所述第一塗層可溶於水性鹼(aqueous base)中。
更具體而言,本發明的特徵在於在獨立的申請專利範圍的特徵部分中所描述的內容。
本發明獲得了相當大的優點。
因此,本發明的解決方案可用於在半導體基板上澆鑄塗層以經由隨後的烘烤、輻照及顯影步驟而形成圖案。具體而言,本發明容許以在工業上可行的方式控制樹脂的微結構,並解決先前技術的缺點。例如,可調整含金屬及矽醇的聚氫化倍半矽氧烷樹脂中的矽醇含量,此對於應用於EUVL中的所獲得的高度敏感型塗層而言為高度可取的。
此外,可藉由限制或促進塗層相對於顯影劑的溶解度的前驅物的共聚來修改包括具有矽-碳鍵及矽-氫及/或具有矽醇鍵的矽-氧或矽-氧-金屬網路的塗層的溶解度。
本文中所描述的本發明解決了關鍵的RLS挑戰,並且另外提供了高氧電漿蝕刻耐受性,使得簡化微影堆疊成為可能。
此外,使用包括具有矽-碳鍵及矽-氫及/或具有矽醇鍵的矽-氧或矽-氧-金屬網路的塗層容許使用工業標準2.38重量% TMAH溶液,利用設計輻照塗層對於顯影劑的溶解度特性的方式對圖案進行顯影。
本技術進一步的特徵及優點將自以下對實施例的詳細論述而顯而易見。
本發明實施例是有關於製造含金屬及矽醇的聚氫化倍半矽氧烷樹脂溶液的方法,其中三官能矽烷與合適的其他含矽或金屬前驅物發生水解/縮合反應,以獲得含金屬及矽醇的聚氫化倍半矽氧烷。
本發明的材料可被表徵為「含矽醇有機-無機混成材料」。此類材料含有衍生自有機化合物以及衍生自無機化合物的殘基(residue),將在下文中將加以闡釋。此外,本發明的材料通常沿其主鏈(具體而言沿其主矽氧烷鏈)含有矽醇基,即表現出連接性Si-O-H的基團。
樹脂或塗層的矽含量通常為按重量計至少30%,具體而言35%或大於35%。通常,矽的最大含量約為按重量計52.9%。
一般而言,聚氫化倍半矽氧烷樹脂主鏈的每一重複單元約有1個矽醇基。在一實施例中,聚氫化倍半矽氧烷樹脂主鏈的每個單元平均約有0.8至1.2個矽醇基。
因此,在一個實施例中,提供了一種氫矽酸鹽樹脂塗佈組成物,所述氫矽酸鹽樹脂塗佈組成物在液相中包含樹脂,所述樹脂在矽-氧網路中表現出矽醇(Si-OH)及矽氫(Si-H)以及可選的矽-碳鍵,或在矽-氧-金屬網路中表現出矽-氫(Si-H)及可選的矽-碳鍵,Si-H對Si-OH的峰高比約為2:1至100:1。
在一實施例中,提供一種氫矽酸鹽樹脂塗佈組成物,相較於矽-氧網路中的Si-H或Si-R、或具有矽-碳鍵及矽-氫的矽-氧-金屬,所述氫矽酸鹽樹脂塗佈組成物在液相中包含約0.001 M至約1 M的矽醇。
當與可選地存在於本發明組成物中的組分結合使用時,術語「潛(latent)」是指在組成物或樹脂的處理期間(例如藉由光)被活化的性質。因此,「潛」觸媒為具體而言例如當樹脂或組成物暴露於光時被光活化的物質。類似地,當暴露於光時,組成物中的潛酸及潛鹼亦可被活化。
根據實施例,本發明技術是有關於一種適於在基板上形成可輻照固化的矽氧烷層的組成物,所述組成物包含矽氧烷聚合物,所述矽氧烷聚合物含有SiO部分、沿著所述聚合物分佈的多個反應位點、以及第一SiH部分、第二SiOH部分以及中間芳族與非芳族部分、含有金屬-氧鍵的第四部分,其中所述聚合物的分子量為500克/莫耳至50000克/莫耳,並且所述組成物較佳地更包含酸及/或鹼觸媒及溶劑。
根據較佳實施例,本發明技術是有關於一種適於產生可澆鑄於基板上的塗佈配方的組成物,且其中基板上的塗層可藉由輻照可圖案化進行圖案化,且其中塗層由通式(I)表示:
Figure 02_image003
(I)
在式1中,符號A、B、C及D各自獨立地表示選自1至1000的整數,Z代表官能基且M代表金屬原子,且R1 至R8 代表烴基自由基(hydrocarbyl radical),並且a、b、m、o、y、z、p、q及x各自獨立地代表0至3的整數。
上述組成物是藉由使具有至少二或三個附接至矽的可水解基團的第一單體含氫的矽化合物(「前驅物A」)與以下進行水解而獲得的: 可選地,第二單體矽化合物,具有至少零、一、二或三個烴基自由基、以及至少一個附接至所述化合物的矽原子的可水解基團(即,「前驅物B」), 可選地,第三單體矽化合物,具有至少一個官能基及至少一個附接至所述化合物的矽原子的可水解基團以形成矽氧烷材料,其中所述官能基增強了對顯影劑的溶解度(即,「前驅物C」),以及 可選地,第四前驅物,基於一或多種可水解金屬氧化物前驅物,在合適的溶劑體系中將所述矽氧烷材料配製成穩定的組成物(即,「前驅物D」)。
在一實施例中,前驅物B可選地與前驅物A、前驅物C及前驅物D中的一或多者一起使用。
在本發明中使用的前驅物的比可變化。前驅物A可以0莫耳%至100莫耳%使用,前驅物B可以0莫耳%至50莫耳%使用,前驅物C可以0莫耳%至20莫耳%使用,且前驅物D可以0莫耳%至50莫耳%使用。前驅物1及前驅物2一起構成所述組成物的至少50莫耳%,具體而言至少60莫耳%,例如至少70莫耳%。
矽氧烷組成物可藉由在同一反應容器中或單獨在指定部分進行水解及縮合、或針對每一前驅物獨立進行水解及縮合而獲得。
藉由選擇前驅物的相對量,可調整聚氫化倍半矽氧烷樹脂中的矽醇含量。
本發明特別適合於以下組成物的生產,所述組成物包含藉由水解具有通式(II)的第一矽化合物而獲得的聚(有機矽氧烷): R1 a -Si-R2 b (II) 其中 a為1或2的整數, b為2或3的整數, R1 表示氫原子且 R2 表示可獨立地選自羥基、烷氧基、醯氧基(acyloxy)及鹵素的可水解基團。
在「鹵素」的含義中,每個可水解基團較佳且獨立地代表氯或溴。
在「烷氧基」的含義中,每個可水解基團較佳且獨立地代表具有1至6個(具體而言1至4個)碳原子的烷氧基,例如甲氧基、乙氧基、正丙氧基、異丙氧基、丁氧基、第二丁氧基或第三丁氧基。在「醯氧基」的含義中,醯基具有1至4個碳原子。因此,作為可水解基團,每個醯氧基較佳且獨立地代表乙醯氧基(acetoxy)、丙烯醯氧基(acryloxy)或甲基丙烯醯氧基(methacryloxy)。
此類前驅物的具體實例為三乙氧基矽烷(triethoxysilane,HTEOS)、三甲氧基矽烷(trimethoxysilane,HTMOS)、二乙氧基矽烷(diethoxysilane)及三氯矽烷(trichlorosilane)。
本發明亦有關於包含藉由使具有通式(II)的第一矽化合物與具有通式(III)的前驅物水解而獲得的共聚(有機矽氧烷)(copoly(organosiloxane))的組成物: R3 m -SiR2 n -R4 o (III) 其中 R3 及R4 可獨立地選自烷基、芳基、芳烷基、鹵代烷基、鹵代芳基、鹵代芳烷基、具有環氧基的有機基、巰基(mercapto group)、烷氧基芳基、醯氧基芳基、異氰尿酸酯基(isocyanurate group)、羥基、環狀胺基或氰基或其組合, R2 及R3 亦可為烷氧基、醯氧基或鹵素基, m為0至1的整數, n為2至4的整數,且 o為0至1的整數, 其中m+n+o的總值可不超過4。
本發明進一步有關於包含藉由使具有通式(II)的第一矽化合物與具有通式(IV)的前驅物水解而獲得的共聚(有機矽氧烷)的組成物: Zx -R5 y -SiR2 n -R6 z (IV) 其中 Z為例如羥基、羧酸、巰基、胺或其鹽或促進在水性顯影劑中的溶解度的第四銨鹽等基團,且 R5 為與Z及Si二者共價結合的間隔基團(spacer group),且可獨立地選自衍生自烷基、芳基、芳烷基、鹵代烷基、鹵代芳基、鹵代芳烷基、烯基、烷氧基芳基、醯氧基芳基或其組合的二價基團, R6 可獨立地選自烷基、芳基、芳烷基、鹵代烷基、鹵代芳基、鹵代芳烷基、具有環氧基的有機基、巰基、烷氧基芳基、醯氧基芳基、羥基、環胺基、或氰基或其組合, R2 可為烷氧基、醯氧基或鹵素基, y為0至2的整數, n為1至3的整數,且 z為0至11的整數, 其中y+n+z的總值可不超過4。
在式IV的結構中,x表示間隔基團R3 可包含的值且必須等於或大於1。
在一個實施例中,式IV包含具有游離或受保護脂族或酚類羥基的矽物質。
在一個實施例中,式IV包含具有游離或受保護脂族或酚類羧酸基的矽物質。
本發明最終有關於包含藉由使具有通式(II)的第一矽化合物與具有通式(V)的前驅物水解而獲得的共聚(有機矽氧烷)的組成物: R7 p -MR8 q (V) 其中 R7 可獨立地選自烷基、芳基、芳烷基、鹵代烷基、鹵代芳基、鹵代芳烷基、烯基、烷氧基芳基、醯氧基芳基或其組合, M可獨立地選自能夠形成前驅物的任何金屬,所述前驅物可水解及縮合成金屬氧化物或金屬氧代氫氧化物, p為0至1的整數,且需要M能夠形成穩定的碳金屬鍵, q為自Mox -p獲得的數目的整數,其中Mox 為金屬前驅物的氧化狀態,且p為共價附接至金屬前驅物的碳自由基R7 的數目, R8 可為烷氧基、醯氧基、鹵素基、羥基或與另一個金屬原子連接的氧原子;或R8 亦可由金屬的雙牙配體(bidente ligand)或配位配體(coordinating ligand)組成。
在本上下文中, 「烷基」代表C1-10 烷基,具體而言C1-4 烷基; 「烷氧基」代表C1-10 烷氧基,具體而言C1-4 烷氧基; 「芳基」代表具有1至5個環、熔合或共軛且具有4至30個碳原子的芳環基。 「芳烷基」代表具有1至5個環及4至30個碳原子的芳環基,所述芳環基帶有1至6個、具體而言1至3個烷基作為環取代基,所述烷基各自具有1至10個、具體而言1至4個碳原子。 「烷氧基芳基」代表具有1至5個環及4至30個碳原子並帶有1至6個烷氧基作為環取代基的芳基,其中烷氧基具有1至10個、較佳地1至4個碳原子,且 「醯氧基芳基」代表具有1至5個環及4至30個碳原子並帶有1至6個醯氧基作為環取代基的芳基,其中醯氧基含有1至4個碳原子。
鹵素取代基的實例包括氟基、氯基、溴基及碘基。
通常,式V的金屬化合物為金屬β-二酮或β-酮酯或其組合。
「M」含義中的金屬通常選自由過渡金屬及稀土金屬組成的群組。金屬的實例包括以下:具有各種氧化程度的銻、鉍、鍺、鉿、鐵、鉬、鈰、鑭、釔、錫、鈦、鋯及鎢,例如鋯、鉿、鋁、鈦及錫及其組合。
具體而言,所述金屬可選自由以下組成的群組:銻(III)、鉍(III)、鍺、鉿(IV)、鐵(III)、鉬(V)、鈰(IV)、鑭(III)、釔(III)、錫(IV)、鈦(IV)、鋯(IV)及鎢(V)。
前驅物II的具體實例包括但不限於四甲氧基矽烷、四氯矽烷、四乙醯氧基矽烷、四乙氧基矽烷、四正丙氧基矽烷、四異丙氧基矽烷、四正丁氧基矽烷、甲基三甲氧基矽烷、甲基三乙氧基矽烷、甲基三氯矽烷、甲基三乙醯氧基矽烷、甲基三丙氧基矽烷、甲基三丁氧基矽烷、甲基三苯氧基矽烷、甲基三苄氧基矽烷、乙基三甲氧基矽烷、乙基三乙氧基矽烷、苯基三甲氧基矽烷、苯基三氯矽烷、苯基三乙醯氧基矽烷、苯基三乙氧基矽烷、γ-氯丙基三甲氧基矽烷(γ-chloropropyltrimethoxysilane)、γ-氯丙基三乙氧基矽烷、γ-氯丙基三乙醯氧基矽烷、3,3,3-三氟丙基三甲氧基矽烷、γ-甲基丙烯醯氧基丙基三甲氧基矽烷、γ-巰基丙基三甲氧基矽烷、γ-巰基丙基三乙氧基矽烷、β-氰基乙基三乙氧基矽烷、氯甲基三甲氧基矽烷、氯甲基三乙氧基矽烷、二甲基二甲氧基矽烷、苯基甲基二甲氧基矽烷、二甲基二乙氧基矽烷、苯基甲基二乙氧基矽烷、γ-氯丙基甲基二甲氧基矽烷、γ-氯丙基甲基二乙氧基矽烷、二甲基二乙醯氧基矽烷、γ-縮水甘油氧基甲基三甲氧基矽烷(γ- glycidoxymethyltrimethoxysilane)、縮水甘油氧基甲基三乙氧基矽烷、α-縮水甘油氧基乙基三甲氧基矽烷、α-縮水甘油氧基乙基三乙氧基矽烷、β-縮水甘油氧基乙基三甲氧基矽烷、β-縮水甘油氧基乙基三乙氧基矽烷、α-縮水甘油氧基丙基三甲氧基矽烷、α-縮水甘油氧基丙基三乙氧基矽烷、β-縮水甘油氧基丙基三甲氧基矽烷、β-縮水甘油氧基丙基三乙氧基矽烷、γ-縮水甘油氧基丙基三甲氧基矽烷、γ-縮水甘油氧基丙基三乙氧基矽烷、γ-縮水甘油氧基丙基三丙氧基矽烷、γ-縮水甘油氧基丙基三丁氧基矽烷、γ-縮水甘油氧基丙基三苯氧基矽烷、α-縮水甘油氧基丁基三甲氧基矽烷、α-縮水甘油氧基丁基三乙氧基矽烷、β-縮水甘油氧基丁基三乙氧基矽烷、γ-縮水甘油氧基丁基三甲氧基矽烷、γ-縮水甘油氧基丁基三乙氧基矽烷、δ-縮水甘油氧基丁基三甲氧基矽烷、δ-縮水甘油氧基丁基三乙氧基矽烷、(3,4-環氧環己基)甲基三甲氧基矽烷、(3,4-環氧環己基)甲基三乙氧基矽烷、β-(3,4-環氧環己基)乙基三甲氧基矽烷、β-(3,4-環氧環己基)乙基三乙氧基矽烷、β-(3,4-環氧環己基)乙基三丙氧基矽烷、β-(3,4-環氧環己基)乙基三丁氧基矽烷、β-(3,4-環氧環己基)乙基三苯氧基矽烷、γ-(3,4-環氧環己基)丙基三甲氧基矽烷、γ-(3,4-環氧環己基)丙基三乙氧基矽烷、δ-(3,4-環氧環己基)丁基三甲氧基矽烷、δ-(3,4-環氧環己基)丁基三乙氧基矽烷、縮水甘油氧基甲基甲基二甲氧基矽烷、縮水甘油氧基甲基甲基二乙氧基矽烷、α-縮水甘油氧基乙基甲基二甲氧基矽烷、α-縮水甘油氧基乙基甲基二乙氧基矽烷、β-縮水甘油氧基乙基甲基二甲氧基矽烷、β-縮水甘油氧基乙基乙基二甲氧基矽烷、α-縮水甘油氧基丙基甲基二甲氧基矽烷、α-縮水甘油氧基丙基甲基二乙氧基矽烷、β-縮水甘油氧基丙基甲基二甲氧基矽烷、β-縮水甘油氧基丙基乙基二甲氧基矽烷、γ-縮水甘油氧基丙基甲基二甲氧基矽烷、γ-縮水甘油氧基丙基甲基二乙氧基矽烷、γ-縮水甘油氧基丙基甲基二丙氧基矽烷、γ-縮水甘油氧基丙基甲基二丁氧基矽烷、γ-縮水甘油氧基丙基甲基二苯氧基矽烷、γ-縮水甘油氧基丙基乙基二甲氧基矽烷、γ-縮水甘油氧基丙基乙基二乙氧基矽烷、γ-縮水甘油氧基丙基乙烯基二甲氧基矽烷、γ-縮水甘油氧基丙基乙烯基二乙氧基矽烷、及苯基磺醯基胺基丙基三乙氧基矽烷(phenylsulfonylaminopropyltriethoxysilane)。
前驅物III的具體實例包括但不限於4-乙醯氧基苯基乙基三氯矽烷、4-乙醯氧基苯基乙基三甲氧基矽烷、4-乙醯氧基苯基乙基三乙氧基矽烷、4-(乙醯氧基苯基乙基)甲基二氯矽烷、4-(乙醯氧基苯基乙基)甲基二甲氧基矽烷、4–(乙醯氧基苯基乙基)甲基二乙氧基矽烷、三乙氧基矽烷基丙基胺基甲酸酯(triethoxysilylpropylcarbamate)、三乙氧基矽烷基丙基馬來醯胺酸、N-(3-三乙氧基矽烷基丙基)-4-羥基丁醯胺(N-(3-triethoxysilylpropyl)-4-hydroxybutyramide)、N-(3-三乙氧基矽烷基丙基)葡萄糖醯胺(N-(3-triethoxysilylpropyl)gluconamide)、(3-三乙氧基矽烷基)丙基琥珀酸酐((3-triethoxysilyl)propylsuccinic anhydride)、脲基丙基三乙氧基矽烷(ureidopropyltriethoxysilane)、脲基丙基三甲氧基矽烷(ureidopropyltrimethoxysilane)、3-羥基-3,3-雙(三氟甲基)丙基三乙氧基矽烷、4-(甲氧基甲氧基)三甲氧基矽烷基苯(4-(methoxymethoxy)trimethoxysilylbenzene)及6-(甲氧基甲氧基)-2-(三甲氧基矽烷基)萘。
前驅物IV的具體實例包括但不限於:銻(III)烷氧化物,例如銻(III)乙氧化物;鉍(III)烷氧化物,例如鉍(III)異丙氧化物;鍺烷氧化物,例如四乙氧基鍺烷;鉿(IV)烷氧化物,例如鉿(IV)丁氧化物;鐵(III)烷氧化物,例如鐵(III)乙氧化物;鉬(V)烷氧化物,例如鉬(V)乙氧化物;鈰(IV)烷氧化物,例如鈰(IV)異丙氧化物;鑭(III)烷氧化物,例如鑭(III)異丙氧化物;釔(III)烷氧化物,例如釔(III)異丙氧化物;錫(IV)烷氧化物;經烷基及芳基取代的錫(IV)烷氧化物,例如四第三丁氧基錫(tetra-t-butoxytin)、四異丙氧基錫(tetra-i-propoxytin);鈦(IV)烷氧化物,例如鈦(IV)丁氧化物及鈦(IV)異丙氧化物;鋯(IV)烷氧化物,例如鋯(IV)丁氧化物;鎢(V)烷氧化物及鎢(VI)烷氧化物,例如鎢(V)乙氧化物及鎢(VI)乙氧化物。
在一實施例中,一種製備部分縮合的聚氫化倍半矽氧烷樹脂的方法包括使用經受控水解/縮合反應的HTEOS(HSi(OC2 H5 )3 )或HTEOS與其他三官能矽烷的混合物。
在此種方法中,三官能矽烷通常選自甲基三甲氧基矽烷(methyltrimethoxysilane,MTMOS)、甲基三乙氧基矽烷(methyltriethoxysilane,MTEOS)、三甲氧基乙基矽烷(trimethoxyethylsilane,ETMOS)或二乙氧基二甲基矽烷(diethoxydimethylsilane,DMDEOS)或三甲氧基苯基矽烷(trimethoxyphenylsilane,PhTMOS)。
在實施例中,將單體溶解在用作合成溶劑的MeOTHF中。或者,使用其他溶劑,例如EtOTHF或MeOTHF與EtOTHF的混合物,或MeOTHF、EtOTHF或R-OTHF的合成溶劑,其中R由3至12個碳組成。
在一實施例中,利用莫耳比介於0.0001 M至1 M的酸溶液獲得單體的受控水解(controlled hydrolysis)。
根據一實施例,水解及聚合是在完全無溶劑的情況下進行,或者在有機溶劑中(例如,在醇、酯、酮及醚中)進行。
具體適合的溶劑為丙酮、乙基甲基酮、甲醇、乙醇、異丙醇、丁醇、乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸丁酯及四氫呋喃。特別適合的溶劑為酮及醚,尤其是存在在水解期間藉由與矽原子的配位(coordination)而達成的一定的穩定效應的情況下。這些實例為乙基甲基酮、甲基四氫糠基醚(methyl tetrahydrofurfuryl ether)及乙基四氫糠基醚(ethyl tetrahydrofurfuryl ether)。
單體的受控水解是藉由加入莫耳比介於0.0001 M至1 M的酸溶液或鹼溶液而獲得的。
在合成中可使用有機酸或無機酸。
可使用例如硝酸、硫酸、鹽酸、氫碘酸、氫溴酸、氫氟酸、硼酸、高氯酸、碳酸及磷酸等無機酸。較佳地,由於硝酸或鹽酸的沸點低,因此使用硝酸或鹽酸使得產品的純化變得簡單。
作為另外一種選擇,可使用各種有機酸代替無機酸。有機酸為羧酸、磺酸、醇、硫醇、烯醇及酚基。實例為甲磺酸、乙酸、乙磺酸、甲苯磺酸、甲酸或草酸。
類似地,在合成中使用的鹼可為無機或有機的。典型的無機鹼包括產生鹼性水溶液(alkaline water solution)的金屬氫氧化物、碳酸鹽、重碳酸鹽及其他鹽類。此類材料的實例為氫氧化鈉、氫氧化鉀、氫氧化銫、氫氧化鈣、碳酸鈉及碳酸氫鈉。另一方面,有機鹼包括由有機酸的金屬鹽(例如醋酸鈉、醋酸鉀、丙烯酸鈉、甲基丙烯酸鈉、苯甲酸鈉)、線狀(linear)支鏈或環狀烷基胺(例如,二胺基乙烷、腐胺(putrescine)、屍胺(cadaverine)、三乙胺、丁胺、二丁胺、三丁胺、哌啶)、脒類及胍類(例如,8-二氮雜雙環(5.4.0)十一碳-7-烯(8-diazabicyclo(5.4.0)undec-7-ene)、1,1,3,3-四甲基胍、1,5, 7-三氮雜雙環[4.4.0]-癸-5-烯(1,5,7-triazabicyclo[4.4.0]-dec-5-ene))、磷氮烷類(phosphazanes)(例如,P1 -t-Bu、P2 -t-Bu、P4 -t-Bu)及第四銨化合物(例如,四甲基銨氫氧化物、四乙基銨氫氧化物、四丁基銨氫氧化物)組成的更大群組。
水解及縮合製程期間的反應混合物可保持在介於-30°C至170°C範圍內的溫度下。
熟習此項技術者將知曉,較低的反應溫度提供了對反應的更好的控制,但代價為反應時間長,而過高的溫度可能使製程過快而無法進行恰當控制。
在0°C至100°C的溫度下,較佳的反應時間為1至48小時、或2至24小時。
根據本發明的方法在有機溶劑體系中產生部分交聯的、可選地含金屬的有機矽氧烷聚合物,相對於聚苯乙烯標準進行量測時,所述聚合物具有約為500克/莫耳至100,000克/莫耳、具體而言約為1,000克/莫耳至50,000克/莫耳的分子量。
本發明的另一實施例為在聚合之後,進行水解及聚合的溶劑被改變為另一溶劑,另一溶劑藉由某種穩定化的形式為材料提供更佳的塗佈效能及產品儲存性質。
穩定有機溶劑體系例如藉由有基醚可選地與另外一種或多種共溶劑混合而形成。
在一實施例中,有機醚為一種直鏈、支鏈或環狀醚,其一般包括4至26個碳原子並且可選地含有其他官能基,例如羥基。
特別合適的實例為可選地在環上帶有取代基的五元環醚及六元環醚。
其他合適的醚為例如(C1-20)烷二醇(C1-6)烷基醚。所述烷二醇烷基醚的實例為丙二醇單甲基醚、丙二醇二甲醚、丙二醇正丁醚、二丙二醇單甲醚、二丙二醇二甲醚、二丙二醇正丁醚、三丙二醇單甲醚及其混合物。
本發明的醚的特別較佳實例為甲基四氫糠基醚、四氫糠基醇、丙二醇正丙醚、二丙二醇二甲醚、丙二醇正甲基醚、丙二醇正乙醚及其混合物。
穩定溶劑體系由單獨包含上述類型的醚的溶劑、或所述醚與水解的典型反應介質的混合物、或其他溶劑(例如,丙二醇單甲基醚乙酸酯)組成。在此種情況下,醚的比例約為溶劑總量的10重量%至90重量%,具體而言約20重量%至80重量%。
由選定溶劑及樹脂材料組成的輻射敏感型配方的固體含量介於0.1%至不超過50%的範圍內,較佳地在0.5%至10%的範圍內。
在一實施例中,在縮合的聚氫化倍半矽氧烷樹脂中,所述配方與不同的光酸產生器(photoacid generator)、光鹼產生器(photobase generator)及增感劑(sensitizer)結合以用作EUVL負色調抗蝕劑(negative tone resist)。
在塗佈製程期間使用固體含量(或聚合物含量)來調節所得膜厚度。
為了改善在塗佈均勻性方面的塗佈效能,可使用例如矽酮或氟界面活性劑等不同的界面活性劑來降低含矽醇聚氫化倍半矽氧烷配方塗膜的表面張力。若需要,則使用此種界面活性劑可改善塗膜品質。相較於含矽醇的聚氫化倍半矽氧烷的量,界面活性劑的量按質量計處於0.001%至不超過10%的範圍內。
所述組成物亦可含有鹽,具體而言無機鹽。
在一實施例中,矽氧烷預聚物溶液具有約0.5厘泊(centipoise,cP)至約150厘泊的黏度。有機液體的閃點(flash point)為至少10°C,且在20°C下的蒸汽壓小於約10千帕(kPa)。
如上所述,本文中所述的含輻射敏感型金屬及矽醇的聚氫化倍半矽氧烷組成物可用於直接在裝置內形成所需的無機材料結構及/或作為可輻射圖案化無機抗蝕劑,所述可輻射圖案化無機抗蝕劑為有機抗蝕劑的替代品。無論在哪種情況下,都可利用顯著的處理改善,並且亦可改善圖案化的材料的結構。
相較於HSQ樹脂,在HSQ樹脂中存在矽醇部分會大大提高塗層的圖案形成能力。
含金屬及矽醇的聚氫化倍半矽氧烷溶液通常在非水性溶劑中提供高解析圖案化,其中溶液具有高穩定度,以形成具有良好的輻射敏感度的可輻射圖案化塗層。
利用含金屬及矽醇的聚氫化倍半矽氧烷溶液形成的塗層提供優越的直接圖案化,用於形成圖案化的氧化矽塗層。在感興趣的實施例中,暴露於輻射將輻照塗佈材料轉變為對使用顯影劑組成物進行移除具有耐受性的材料,使得所述材料能夠被選擇性地移除。
因此,塗層可被負性圖案化。選擇性移除至少一部分塗佈材料可留下塗層的一些區域已被移除以暴露出下伏基板的圖案。經輻照後對塗層進行顯影後,可使用經圖案化的氧化矽材料以優異的圖案解析促進裝置形成過程中的處理。塗佈材料可被設計成對選定的輻射(例如,極紫外線、紫外線及/或電子束)敏感。此外,可對前驅物溶液進行配製以使其穩定,以為商業分銷提供恰當的保質期(shelf life)。
輻射敏感型塗佈材料可用作負輻射圖案化塗層。在負圖案化中,暴露於輻射會將輻照塗佈材料轉變為相對於未輻照塗佈材料對利用顯影劑組成物進行移除更具有耐受性的材料。選擇性移除至少一部分塗佈材料會留下一些區域已被移除以暴露出下伏基板的圖案。
積體電子裝置等的形成一般涉及材料的圖案化,以在結構中形成個別元件或組件。此種圖案化可涉及不同的組成物,所述組成物覆蓋在垂直及/或水平方向上彼此界接的堆疊層的選定部分以誘發期望的功能。
各種材料可包括半導體,所述半導體可具有選定的摻雜劑、電介質、電導體及/或其他類型的材料。為了形成高解析圖案,可使用輻射敏感型有機組成物來引入圖案,並且所述組成物可被稱為抗蝕劑,因為所述組成物的一些部分被處理以對顯影/蝕刻具有耐受性,使得可使用選擇性材料移除以引入選定的圖案。
具有選定圖案或圖案的負型(negative)的輻射可用於暴露出抗蝕劑並形成具有顯影劑抗性區域及顯影劑可溶解區域的圖案或潛像(latent image)。
在EUV或類似的輻照方法(例如,電子束)期間,SiH物質反應以形成中間反應矽物質(圖4)。此中間體需要大氣水分或增加劑量以進行後續反應。事實上,許多針對EUVL提議的金屬抗蝕劑也展現出類似的挑戰,其中反應中間體需要大氣水分以進行使材料交聯從而產生負色調抗蝕塗佈材料的後續反應。因此,我們發現在膜中引入適量的矽醇基,所述矽醇基直接與自SiH藉由EUV產生的中間反應矽物質發生反應。
因此,相較於在迄今為止的文獻中所述者,含矽醇的聚氫化倍半矽氧烷在EUV微影中展示出更高的敏感度。
基於上述內容,本發明技術提供藉由將用於形成抗蝕底層膜的如上所述的組成物塗敷於半導體基板上並烘烤所述組成物來形成抗蝕膜。
在一實施例中,提供一種產生半導體裝置的方法,所述方法包括: 將抗蝕底層膜或若干底層膜塗敷於半導體基板上,並烘烤所述組成物以形成一或多個抗蝕底層膜; 將如申請專利範圍第1項所述的組成物作為抗蝕劑塗敷於一或多個抗蝕底層膜上以形成抗蝕膜; 將所述抗蝕膜曝光; 在所述曝光之後,將所述抗蝕膜顯影以形成抗蝕圖案; 利用所述抗蝕圖案蝕刻所述抗蝕底層膜;以及 使用如此圖案化的所述抗蝕膜及如此圖案化的所述抗蝕底層膜來製作所述半導體基板。
在一實施例中,提供一種產生半導體裝置的方法,所述方法包括: 在半導體基板上形成有機底層膜; 將用於形成抗蝕膜的組成物塗敷於所述有機底層膜上並烘烤所述組成物以形成抗蝕膜; 將所述抗蝕膜曝光; 在所述曝光之後,將所述抗蝕膜顯影以形成抗蝕圖案; 利用所述抗蝕圖案蝕刻抗蝕底層膜; 使用如此圖案化的所述抗蝕劑底層膜蝕刻所述有機底層膜;以及 使用如此圖案化的所述有機底層膜來製作所述半導體基板。
所述技術亦提供一種產生半導體裝置的方法,所述方法包括: 將抗蝕底層膜或若干底層膜塗敷於半導體基板上,並烘烤所述組成物以形成一或多個抗蝕底層膜; 將如申請專利範圍第1項所述的組成物作為抗蝕劑塗敷於一或多個抗蝕底層膜上以形成抗蝕膜; 將所述抗蝕膜曝光; 在所述曝光之後,將所述抗蝕膜顯影以形成抗蝕圖案; 利用所述抗蝕圖案蝕刻所述抗蝕底層膜;以及 使用如此圖案化的所述抗蝕膜及如此圖案化的所述抗蝕底層膜來製作所述半導體基板。
此外,一種產生半導體裝置的方法包括以下步驟: 在半導體基板上形成有機底層膜; 將用於形成抗蝕膜的組成物塗敷於所述有機底層膜上並烘烤所述組成物以形成抗蝕膜; 將所述抗蝕膜曝光; 在所述曝光之後,將所述抗蝕膜顯影以形成抗蝕圖案; 利用所述抗蝕圖案蝕刻抗蝕底層膜; 使用如此圖案化的所述抗蝕底層膜蝕刻所述有機底層膜;以及 使用如此圖案化的所述有機底層膜來製作所述半導體基板。
塗層的組成物對EUVL抗蝕材料所需的其他屬性也有顯著的影響。出於實際原因,需要足夠長的塗佈後延遲(post coating delay,PCD)。與在輻照期間使用環境或氮氣層(nitrogen blanket)的先前使用的微影步進器(stepper)及掃描儀不同,EUVL掃描儀輻照(scanner irradiation)在真空中進行。經由EUVL掃描儀中的各種腔室自界面或單獨的抗蝕軌道轉移可能需要很長的時間,因此塗佈組成物在排氣腔室中在該些步驟期間應為穩定的。
因此,材料應表現出足夠的PCD穩定性,此意指塗層可易於使用溶劑或顯影劑移除,而不會在基板上留下任何殘留物。令人驚訝的是,已發現膜中Si-H與Si-OH之間的相關性對PCD穩定性有影響。若圖案化製程中的固化時間過長,則膜中Si-OH含量過高將使材料過早地交聯,從而使未曝光區無法顯影。FTIR為一種快速、簡便的評定Si-H對Si-OH定量(ration)的工具,且我們觀察到在一定的定量下,材料產生高解析圖案、低LER及良好的PCD穩定性。
更具體而言,已記錄了FTIR光譜以驗證合成的含矽醇的聚氫化倍半矽氧烷的化學結構,此呈現於圖7中。
如在此圖中可看出,含矽醇的聚氫化倍半矽氧烷展現出介於3200 cm-1 至3700cm-1 範圍內強且廣的Si-OH峰,此乃因存在鍵接有氫的矽醇網路。此外,Si-H官能被視為中心在2248 cm-1 左右的尖峰。因此,較佳地,Si-H對Si-OH峰高比的比率應至少為4:1或較佳地大於4:1,但最大或小於100:1,或更佳地大於3:1但小於50:1。
本發明是有關於在半導體基板上形成圖案時使用所述組成物。
接下來參照圖式,圖1示出當前使用的典型製程,其中以有機底層12塗佈基板表面10,隨後塗佈及固化無機中間層14,無機中間層14通常由含高矽材料(high silicon containing material)組成。除此之外,塗佈光阻劑16。
在利用193奈米波長的眾所習知的輻照18之後,形成圖案並對所述圖案顯影。層的此種組合在文獻中經常被描述為「三層(tri-layer)」堆疊,此是指層的數量。可應用隨後眾所習知且使用的圖案轉移蝕刻製程以將形成於光阻劑上的圖案轉移至基板(圖1)。
值得注意的是,在微影中使用的各種層的堆疊可高於3層。
另一種常用的層組合為四層堆疊(比照圖2),其中先應用有機底層(或基板)12,然後塗佈並固化無機中間層14,無機中間層14通常由含高矽材料組成。除此之外,在光阻劑16之前應用有機底部抗反射18層,此完成圖2的四層堆疊。
熟習光阻圖案化中使用的底層材料技術領域者將知曉,所描述的所有底層不僅可被塗佈並固化,而且可藉由例如化學氣相沈積(chemical vapor deposition,CVD)、原子層沈積(atomic layer deposition,ALD)及類似方法等氣相沈積製程來應用。
對於熟習此項技術者而言,同樣的程序可在13.5奈米下應用於EUVL。
然而,由於吸收挑戰、光酸及光子輻照二者的隨機分佈,在所述製程中使用的一般CAR面臨著嚴峻的挑戰,具體而言與LER及LWR相關的挑戰。
因此,人們對無機光阻劑的使用產生了很大的興趣,無機光阻劑承諾在減少兩個層數及減小LER及LWR挑戰的情況下實現堆疊簡化。在大多數情況下,無機抗蝕劑由一或多種易於水解或水解金屬氧化物前驅物形式的化合物的金屬氧化物及氫矽酸鹽組成。其益處在於:金屬氧化物抗蝕劑產生一種前所未有的EUVL劑量敏感度,而氫矽酸鹽聚合物以低LER/LWR及極差的EUVL敏感度而實現優異的解析。
因此,這兩種方法都具有使得其在EUVL圖案化製程中的使用存在挑戰的屬性。
本發明使用含金屬-矽醇的矽氧烷作為達成堆疊簡化及減少在圖案化製程中使用的金屬的較佳方法,比照圖3。
在本發明的實施例中,在EUV中以旋轉形式在碳或非晶碳層上將基板20塗佈以主要有機塗層22。根據本發明的可圖案化薄膜22是基於含金屬及矽醇的聚氫化倍半矽氧烷樹脂24。
相較於在文獻中描述的HSQ樹脂,此塗層能夠以高敏感度藉由EUVL輕易圖案化,且相較於在文獻中描述的CAR及無機樹脂,此塗層顯著降低LER/LWR。
具體而言,本發明提供一種在不存在金屬的情況下利用雙層結構進行高解析圖案化的方式,所述方式在不會產生顯著良率損失的情況下生產全功能電晶體晶粒方面可具有顯著優勢。眾所習知,含矽材料對EUV的吸收相對較低。另一方面,已經確定EUV自圖4的HSQ樹脂中的Si-H部分產生不穩定的矽醇。
基於本文中呈現的實例,顯而易見的是,相較於在文獻中描述的方法,有利於促進矽醇縮合或SiH氧化的含金屬添加劑也將有利於達成較低的敏感度。
可藉由以下方式獲得改善: 1、相較於僅含矽的樹脂,增加樹脂的敏感度,或 2、相較於含非金屬的配方,加速縮合製程。
藉由在用於積體電路製造中的表面20上沈積富碳底層22而達成本發明。藉由例如CVD等氣相製程或藉由旋塗及固化來沈積所述底層。然後應用本發明的組成物24,以產生主要富矽及金屬的氧化物塗層(predominately silicon and metal rich oxide coating),所述塗層可藉由例如EUVL等新型圖案化技術直接圖案化。
在藉由針對液體的旋塗或其他合適的沈積方法進行塗敷之後,應用預烘烤步驟以移除塗佈材料中所包含的溶劑及揮發性化合物。此為避免製造設備時的污染所必需的步驟。
可根據溶劑及揮發性組分的沸騰溫度在不同的溫度及時間下進行預烘烤。通常,預烘烤可在60°C至120°C下進行30秒且至多不超過30分鐘的時間。
在乾燥或預烘烤步驟之後,使用經由可選使用的遮罩將塗層暴露於EUV光、電子束或類似的曝光方法26以在基板上產生圖案。產生圖案所需的劑量最小為10毫焦耳/平方公分且最大為200毫焦耳/平方公分。
如前所述,出於包括工業應用中的合理吞吐量及解決RLS權衡問題在內的各種原因,較佳的是使用較低的劑量。曝光後烘烤(post exposure bake)可選地在EUV曝光後進行。此步驟可改善曝光區域的交聯,此將使得抗蝕劑具有更高的對比度。曝光後烘烤可在80°C且至多不超過150°C的溫度下進行介於30秒且至多不超過30分鐘的時間。
進行顯影以移除未曝光區域,從而獲得所設計的圖案。在顯影步驟期間,抗蝕劑24的非曝光區域在顯影劑中溶解。
可有效地使用基於例如四甲基銨氫氧化物、氫氧化鉀、氫氧化鈉等鹼以介於0.1%至25%的不同質量比常用於工業中的水性鹼顯影劑。藉由使用顯影劑溶液方法的噴霧或覆液(puddle)的眾所習知的方法塗敷顯影劑而進行顯影。或者,可將基板浸沒在由顯影劑組成的浴中。
可以可選地進行固化步驟以緻密化所曝光及顯影的圖案,從而獲得進一步交聯的材料,或藉由材料展示出某種迴流的製程來改變抗蝕圖案的形狀。相較於未經固化步驟所製備的材料,此步驟可產生蝕刻耐受性更高的材料。固化可在120°C至400°C下進行2分鐘且至多不超過30分鐘。可藉由對放置在熱板(hot plate)上或烘箱中的塗層進行加熱而進行固化。
可將不同的光酸產生器(photoacid generator,PAG)、光鹼產生器(photobase generator,PBG)及增感劑添加至輻射敏感型塗佈配方中。此類添加劑為CAR中的關鍵組分,且其隨機分佈為在抗蝕配方中LER挑戰的常見根本原因。
一般而言,光酸產生器及光鹼產生器可選自非離子酸產生器及非離子鹼型或離子型光鹼產生器。光鹼產生器可與增感劑結合使用。
令人驚訝的是,由於隨機分佈挑戰及曝光期間的散射雜訊,PAG或PBG的作用既未降低所需劑量也未增加LER,因此未觀察到LER增大。然而,可在塗佈配方中加入PAG或PBG以增強輻射敏感型塗層的酸或鹼催化縮合,從而促進膜的曝光區縮合,藉此產生可能更緻密的圖案。
可利用各種PAG、PBG及增感劑。舉幾個例子,PAG包括離子型光酸產生器,例如芳基重氮鹽(aryldiazoniu salt)、二芳基鹵鹽(diarylhalonium salt)、三芳基鋶鹽(triarylsulfonium salt)等。相較於含矽醇的聚氫化倍半矽氧烷的量,離子型光酸產生器的量按質量計處於0.001%至不超過10%的範圍中。
不同的光酸產生器包括非離子酸產生器,例如硝基苄基酯、碸、磷酸鹽、正羥基醯亞胺磺酸鹽(n-hydroxyimide sulfonate)、苯酚磺酸酯(sulfonic acid esters of phenol)、重氮萘醌(diazonaphthoquinone)、含鹵化合物、亞胺基碸等。相較於含矽醇的聚氫化倍半矽氧烷的量,非離子型光酸發生器的量按質量計處於0.001%至不超過10%的範圍中。
光鹼產生器可為Co(III)-胺及烷基胺鹽、O-醯基肟(O-acyloximes)、苄氧羰基衍生物,且可添加甲醯胺。相較於含矽醇的聚氫化倍半矽氧烷的量,光鹼產生器的量按質量計處於0.001%至不超過10%的範圍內。
我們藉由EUV微影證實了此理論,且圖案的掃描電子顯微鏡(scanning electron microscope,SEM)影像呈現於圖8中。
如在實例1中所述,使用固體含量為2%的聚合物1製備膜,用EUV輻照所述膜且最終用2.38% TMAH對所述膜進行顯影以獲得圖案化的影像。如在圖8中所見,例如分別以65.4毫焦耳/平方厘米、86.5毫焦耳/平方厘米及82毫焦耳/平方厘米的劑量獲得了具有22奈米、30奈米及50奈米的不同半節距的高解析SEM影像。
此外,我們使用電子束微影進一步證實了我們的理論。我們使用了在實例1製成的具有1%、2%及3%的不同聚合物含量的三種配方。圖9中的SEM影像顯示,在600微庫倫/平方公分、400微庫倫/平方庫倫及200微庫倫/平方庫倫下獲得50奈米的圖案線。可見,對於固體含量較高的樣品,觀察到較高的敏感度。此乃因膜中的矽醇含量較高,此增加了交聯的密度及反應活性。
然而,當SiH對SiOH之比小於4時,膜中的矽醇量變得太大,如在樣品3及樣品5中所示。高矽醇含量所產生的材料不會展現出適合EUV的PCD(圖5至圖6及表1),乃因塗層自交聯(self-crosslink)相對較快且不能再利用顯影劑移除。另一方面,當SiH對SiOH之比大於100,則膜的敏感度顯著降低,且因此需要大量的EUV劑量。
因此,我們廣泛地研究了合成方式,以藉由使用不同的水解時間及/或在不同的溶劑中進行反應及/或利用不同的單體比來調節樹脂中SiH/SiOH比的量。FTIR結果呈現於圖7中。
在真實的EUV微影應用中,EUV抗蝕膜在軟烘烤後的塗佈後延遲(PCD)需要至少1小時。因此,我們針對我們經顯影的聚合物及配方進行大量的PCD測試。所述程序概括於表1中。
X為針對對EUV微影而言PCD為良好的樣品所給出的標記,而Y僅在實例中80°C的低軟烘烤溫度下1分鐘的時間內為良好,並且Z在足以完全移除膜中的溶劑的任何高軟烘烤溫度下皆為不可接受的。
為進一步改善敏感度,可在聚合物溶液中加入金屬氧化物奈米顆粒以用作光阻劑。可添加不同的金屬氧化物奈米顆粒,例如氧化鈦奈米顆粒、混成氧化鈦鋁(hybrid titanium aluminum oxide)奈米顆粒或鉿奈米顆粒。
使用電子束微影的結果及結果呈現於圖10a、圖10c及圖10d中。奈米顆粒的添加可藉由對矽醇與具有Si-H的矽醇的交聯反應的催化活性來提高塗層的敏感度。另一個好處為,在微電子裝置製造期間,膜中所包含的金屬氧化物可改善電漿蝕刻中的抗蝕性(etch resistivity)或蝕刻選擇性。此外,已發現向聚合物1中添加僅為2.5重量%的量的溶解度增強劑(例如HFIPTEOS等)會顯著增加線邊緣粗糙度(圖8c中的SEM影像)。
基於上述內容,提供了以下實施例:
相較於矽-氧網路中的Si-H或Si-R或具有矽-碳鍵及矽-氫的矽-氧-金屬,一種前驅物溶液包含有機液體及約0.001 M至約1 M的矽醇,且所述前驅物溶液具有約0.5厘泊(cP)至約150厘泊的黏度。所述有機液體可具有至少10°C的閃點、以及在20°C下小於約10千帕的蒸汽壓。
一種利用特定波長下的光輻射對塗佈有含金屬及矽醇的聚氫化倍半矽氧烷的基板進行圖案化的方法,所述方法包括以下步驟:沿選定圖案輻照經塗佈的基板,以形成具有輻照塗層區域及未輻照塗層區域的輻照結構;以及選擇性地對所述輻照結構進行顯影,以移除大部分的所述未輻照塗層的來形成圖案化的基板。
一種利用特定波長下的光輻射對塗佈有含金屬及矽醇的聚氫化倍半矽氧烷的基板進行圖案化的方法,其中所述波長為13.5奈米或小於13.5奈米。
一種塗佈基板包括輻射敏感型塗層,所述塗層的平均厚度不大於約5微米且沿所述塗層的任意點處自平均值的厚度變化不大於約50%,所述塗層包括具有矽-碳鍵及矽-氫及/或具有矽醇鍵的矽-氧或矽-氧-金屬網路。
一種圖案化的基板包括具有表面且在沿所述表面的選定區域處具有第一塗層而在沿所述表面的其他區域處不存在塗層的基板。一般而言,所述第一塗層包括具有矽-碳鍵及矽-氫及/或具有矽醇鍵的矽-氧或矽-氧-金屬網路。或者,所述第一塗層可溶於至少一些有機液體中,或所述第一塗層可溶於水性鹼中。
一種圖案化的基板包括具有表面且在沿所述表面的選定區域處具有第一塗層而在沿所述表面的其他區域處不存在塗層的基板。一般而言,所述第一塗層包括具有矽-碳鍵及矽-氫及/或具有矽醇鍵的矽-氧或矽-氧-金屬網路,且在氧電漿或灰化製程中具有小於50奈米/分鐘的蝕刻速率。
以下非限制性實例例示實施例。實例
在配備有串聯連接的昭和KF柱(Shodex KF columns)(KF-G;KF-803L;KF-802;KF-801)的安捷倫1260無窮大LC(Agilent 1260 Infinity LC)上收集凝膠滲透色譜資料(gel permeation chromatography data)。使偵測器及管柱溫度保持在40°C。THF洗滌液(eluent)的流速為1.0毫升/分鐘。
使用傅里葉變換紅外光譜(Fourier transform infrared spectroscopy,FT-IR)利用布魯克威特克斯70(Bruker VERTEX 70)研究化學結構。使用膜樣品進行分析。
塗佈後延遲(PCD)試驗:用PGMEA稀釋來自實例的聚合物溶液,以獲得固體含量為2%的溶液。藉由以1500轉/分鐘(rpm)的速率在矽晶圓上旋塗聚合物溶液30秒而製備膜樣品。然後在溫度介於80°C至150°C的熱板上進行1分鐘的軟烘烤。將膜在室溫下儲存1小時,然後利用2.38% TMAH對所述膜進行顯影,且最後用去離子水將所述膜反覆洗滌3次並用氮氣乾燥。
量測顯影前後的膜厚度以評估PCD。若所述膜在軟烘烤後藉由TMAH顯影劑被完全移除,則所述膜在PCD方面表現良好。結果概括於表1中。
在維斯泰克(Vistec)工具上進行電子束微影。藉由旋塗機以1500轉/分鐘的旋轉速度將2%的固體配方旋塗在矽晶圓上持續進行30秒。然後在溫度為80°C的熱板上進行1分鐘的軟烘烤。在100千伏(kV)及1奈安(nA)的電流下,電子束劑量在100微庫倫/平方公分至900微庫倫/平方公分的範圍內變化。藉由將所述膜浸泡於2.38% TMAH溶液中1分鐘而進行顯影步驟。然後用去離子水將所述膜反覆洗滌3次並最終用氮氣乾燥。
EUV微影:藉由旋塗機以1500轉/分鐘的旋轉速度將固體含量為2%的聚合物配方旋塗在矽晶圓上持續進行30秒。然後在溫度為80°C的熱板上進行1分鐘的軟烘烤。利用瑞士維林根的保羅謝樂研究所(Paul Scherrer Institute, Villigen, Switzerland)的XIL-II EUV工具對軟烘烤後的膜樣品進行輻照。劑量在2毫焦耳/平方公分至150毫焦耳/平方公分的範圍內變化。藉由將所述膜浸泡於2.38% TMAH溶液中1分鐘而進行顯影步驟。然後用去離子水將所述膜反覆洗滌3次並最終用氮氣乾燥。實例 1
將在一個燒瓶中的量為121.55克的三乙氧基矽烷(triethoxysilane,HTEOS)溶解在234.60克2-(甲氧基甲基)四氫呋喃(methyl tetrahydrofurfurylether,MeOTHF)中。將58.65克MeOTHF、25.62克去離子水(deionized water,DIW)及11.71克0.01莫耳硝酸的混合物混合,並在1.5小時內以三等份添加至以上HTEOS溶液中。在添加完成後,繼續進行反應以再混合20小時。進行蒸發以獲得21%至25%的固體含量。向聚合物重量中添加四次甲基乙基酮(methyl ethyl ketone,MEK)。利用0.2微米過濾器過濾所獲得的聚合物溶液。凝膠滲透色譜資料顯示Mw為5001,Mn為2239,且多分散性(polydispersity)為2.23。
實例1的聚合物的蝕刻資料見表1。使用的旋塗碳(spin on carbon,SOC)為由PiBond公司生產的SOC 300。 1 在實例1中製成的聚合物的蝕刻資料。
Figure 108128318-A0304-0001
實例 2
將在一個燒瓶中的量為121.55克的HTEOS溶解在234.60克2-(乙氧甲基)四氫呋喃(ethyl tetrahydrofurfurylether,EtOTHF)中。將58.65克EtOTHF、25.62克去離子水及11.71克0.01莫耳硝酸的混合物混合,並在1.5小時內以三等份添加至以上HTEOS溶液中。在添加完成後,繼續進行反應以再混合20小時。進行蒸發以獲得21%至25%的固體含量。向聚合物重量中添加四次甲基乙基酮。利用0.2微米過濾器過濾所獲得的聚合物溶液。凝膠滲透色譜資料顯示Mw為7396,Mn為2903,且多分散性為2.54。實例 3
將在一個燒瓶中的量為5.510克的HTEOS及0.567克四甲氧基矽烷(tetramethoxysilane,TMOS)以90:10的莫耳比溶解在11.730克MeOTHF中。將2.932克MeOTHF、1.290克去離子水及0.590克0.01莫耳硝酸的混合物混合,並在1.5小時內以三等份添加至以上HTEOS及TMOS溶液中。在添加完成後,繼續進行反應以再混合20小時。進行蒸發以獲得21%至25%的固體含量。向聚合物重量中添加四次甲基乙基酮。利用0.2微米過濾器過濾所獲得的聚合物溶液。凝膠滲透色譜資料顯示Mw為4497,Mn為2059,且多分散性為2.18。實例 4
將在一個燒瓶中的量為5.223克的HTEOS及0.854克TMOS以85:15的莫耳比溶解在11.729克MeOTHF中。將2.930克MeOTHF、1.290克去離子水及0.590克0.01莫耳硝酸的混合物混合,並在1.5小時內以三等份添加至以上HTEOS及TMOS溶液中。在添加完成後,繼續進行反應以再混合20小時。進行蒸發以獲得21%至25%的固體含量。向聚合物重量中添加四次甲基乙基酮。利用0.2微米過濾器過濾所獲得的聚合物溶液。凝膠滲透色譜資料顯示Mw為2022,Mn為1191,且多分散性為1.69。實例 5
將在一個燒瓶中的量為3.154克的HTEOS及2.293克TMOS以50:50的莫耳比溶解在11.729克MeOTHF中。將2.930克MeOTHF、1.330克去離子水及0.610克0.01莫耳硝酸的混合物混合,並在1.5小時內以三等份添加至以上HTEOS及TMOS溶液中。在添加完成後,繼續進行反應以再混合20小時。進行蒸發以獲得21%至25%的固體含量。向聚合物重量中添加四次甲基乙基酮。利用0.2微米過濾器過濾所獲得的聚合物溶液。凝膠滲透色譜資料顯示Mw為3359,Mn為1669,且多分散性為2.01。比較例 1
將在一個燒瓶中的量為60.77克的HTEOS溶解在117.25克乙醇中。將29.37克乙醇、6.40克去離子水及2.93克0.01莫耳硝酸的混合物混合,並在1.5小時內以三等份添加至以上HTEOS溶液中。在添加完成後,繼續進行反應以再混合20小時。藉由添加250克PGMEA而進行溶劑交換,且進行溶劑蒸發以獲得21%至25%的固體含量。向聚合物重量中添加四次甲基乙基酮。利用0.2微米過濾器過濾所獲得的聚合物溶液。凝膠滲透色譜資料顯示Mw為16598,Mn為8432,且多分散性為1.97。比較例 2
將在一個燒瓶中的量為60.77克的HTEOS溶解在117.25克乙醇中。將146.60克乙醇、6.40克去離子水及2.93克0.01莫耳硝酸的混合物混合,並在1.5小時內以三等份添加至以上HTEOS溶液中。在添加完成後,繼續進行反應以再混合20小時。藉由添加300克1-BuOH而進行溶劑交換,且進行溶劑蒸發以獲得21%至25%的固體含量。向聚合物重量中添加四次甲基乙基酮(MEK)。利用0.2微米過濾器過濾所獲得的聚合物溶液。凝膠滲透色譜資料顯示Mw為3188,Mn為1967,且多分散性為1.62。聚合物試驗
在GPC上實例14至實例18的聚合物的分子量,並與比較例1及比較例2的材料進行比較。藉由以1500轉/分鐘的轉速速度旋塗聚合物溶液(2%)30秒而自各種聚合物製備了膜樣品。然後在介於80°C至150°C範圍內的溫度下進行30秒至2分鐘的軟烘烤。
材料的GPC資料及塗佈後延遲資料見表2。 2 利用不同組成物生產的材料的GPC資料及塗佈後延遲。
Figure 108128318-A0304-0002
圖例(針對PCD結果): X =在80°C/1h及150°C/1h下烘烤後,PCD至少1小時為良好, Y =在80°C/1h後為良好,而在150°C/1h時為不良,且 Z =在80°C/1h及150°C/1h下均為差。 注:對於EUVL而言,PCD時間必須至少為1小時,以使得可安全地進行曝光。
結果評估:實例1演示具有低LER的高解析圖案化(圖5)。相較於以較低的矽醇含量製備的類似材料(比較例),實例1類似地顯示(圖6)對輻射的高敏感度。另一方面,過高的矽醇含量會導致材料具有不良PCD效能(實例3至實例5)。因此,較佳地,Si-H對Si-OH峰高比的定量(圖7)應大於4但小於100,但更佳地大於3但小於50。實例 6
以HTEOS: HFIPTEOS = 99:1莫耳比製備聚合物溶液
將在一個燒瓶中的量為5.941克的HTEOS及0.136克3-羥基-3,3-雙(三氟-甲基)丙基三乙氧基矽烷(3-hydroxy-3,3-bis(trifluoro-methyl)propyltriethoxysilane,HFIPTEOS)以99:1的莫耳比溶解在11.729克MeOTHF中。將2.930克EtOTHF、1.300克去離子水及0.590克0.01莫耳硝酸的混合物混合,並在1.5小時內以三等份添加至以上HTEOS及HFIPTEOS溶液中。在添加完成後,繼續進行反應以再混合20小時。進行蒸發以獲得21%至25%的固體含量。向聚合物重量中添加四次甲基乙基酮。利用0.2微米過濾器過濾所獲得的聚合物溶液。凝膠滲透色譜資料顯示Mw為3970,Mn為2023,且多分散性為1.96。實例 7
HTEOS: HFIPTEOS = 97.5:2.5莫耳比
將在一個燒瓶中的量為5.744克的HTEOS及0.334克HFIPTEOS以97.5:2.5的莫耳比溶解在11.729克MeOTHF中。將2.930克EtOTHF、1.290克去離子水及0.590克0.01莫耳硝酸的混合物混合,並在1.5小時內以三等份添加至以上HTEOS及HFIPTEOS溶液中。在添加完成後,繼續進行反應以再混合20小時。進行蒸發以獲得21%至25%的固體含量。向聚合物重量中添加四次甲基乙基酮。利用0.2微米過濾器過濾所獲得的聚合物溶液。凝膠滲透色譜資料顯示Mw為3519,Mn為1766,且多分散性為1.99。實例 8
HTEOS: HFIPTEOS = 95:5莫耳比
將在一個燒瓶中的量為5.430克的HTEOS及0.648克HFIPTEOS以95:5的莫耳比溶解在11.729克MeOTHF中。將2.930克EtOTHF、1.290克去離子水及0.590克0.01莫耳硝酸的混合物混合,並在1.5小時內以三等份添加至以上HTEOS及HFIPTEOS溶液中。在添加完成後,繼續進行反應以再混合20小時。進行蒸發以獲得21%至25%的固體含量。向聚合物重量中添加四次甲基乙基酮。利用0.2微米過濾器過濾所獲得的聚合物溶液。凝膠滲透色譜資料顯示Mw為3069,Mn為1569,且多分散性為1.95。實例 9
HTEOS: HFIPTEOS = 90:10莫耳比
將在一個燒瓶中的量為4.855克的HTEOS及1.223克HFIPTEOS以90:10的莫耳比溶解在11.729克MeOTHF中。將2.930克EtOTHF、1.290克去離子水及0.590克0.01莫耳硝酸的混合物混合,並在1.5小時內以三等份添加至以上HTEOS及HFIPTEOS溶液中。在添加完成後,繼續進行反應以再混合20小時。進行蒸發以獲得21%至25%的固體含量。向聚合物重量中添加四次甲基乙基酮。利用0.2微米過濾器過濾所獲得的聚合物溶液。凝膠滲透色譜資料顯示Mw為2661,Mn為1524,且多分散性為1.74。實例 10
聚合物1+HFIPTEOS = 97.5:2.5莫耳
用PGMEA稀釋在實例1中製成的聚合物以獲得2%固體含量的溶液。以聚合物1:HFIPTEOS=97.5:2.5的莫耳比添加一定量的HFIPTEOS。將兩種溶液在室溫下混合30分鐘。然後利用0.2微米過濾器過濾最終溶液。聚合物試驗
在GPC上測定實例1及實例6至實例9的聚合物的分子量。藉由以1500轉/分鐘的轉速速度旋塗聚合物溶液(2%)30秒而製備各種聚合物的膜樣品。然後在介於80°C至150°C範圍內的溫度下進行30秒至2分鐘的軟烘烤。材料的GPC資料及塗佈後延遲資料見表3。 3 利用不同組成物產生的材料的GPC資料及塗佈後延遲。
Figure 108128318-A0304-0003
圖例(針對PCD結果): X =在80°C/1h及150°C/1h下烘烤後,PCD至少1小時為良好, Y =在80°C/1h後為良好,而在150°C/1h時為不良,且 Z =在80°C/1h及150°C/1h下均為差。 注:對於EUVL而言,PCD時間必須至少為1小時,以使得可安全地進行曝光。
結果評估:實例6至實例9演示官能基增強對顯影劑的溶解度的作用。官能基的加入進一步降低了獲得如在圖8中所示的圖案所需的劑量。此外,使用此類官能基允許使用較低濃度的顯影劑溶液,從而降低製程成本並減少環境及健康問題。實例10示出樹脂組成物可藉由單獨水解前驅物或同時水解前驅物(實例6至實例9)而獲得。實例 11
HTEOS:MTEOS = 90:10莫耳比
將在一個燒瓶中的量為5.423克的HTEOS及0.654克甲基三乙氧基矽烷(methyltriethoxysilane,MTEOS)以90:10的莫耳比溶解在11.729克MeOTHF中。將2.930克EtOTHF、1.290克去離子水及0.590克0.01莫耳硝酸的混合物混合,並在1.5小時內以三等份添加至以上HTEOS及MTEOS溶液中。在添加完成後,繼續進行反應以再混合20小時。進行蒸發以獲得21%至25%的固體含量。向聚合物重量中添加四次甲基乙基酮。利用0.2微米過濾器過濾所獲得的聚合物溶液。凝膠滲透色譜資料顯示Mw為2525,Mn為1277,且多分散性為1.97。實例 12
HTEOS:DMDEOS=90:10莫耳比
將在一個燒瓶中的量為5.524克的HTEOS及0.554克二甲基二乙氧基矽烷(dimethyldiethoxysilane,DMDEOS)以90:10的莫耳比溶解在11.729克MeOTHF中。將2.930克EtOTHF、1.290克去離子水及0.590克0.01莫耳硝酸的混合物混合,並在1.5小時內以三等份添加至以上HTEOS及DMDEOS溶液中。在添加完成後,繼續進行反應以再混合20小時。進行蒸發以獲得21%至25%的固體含量。向聚合物重量中添加四次甲基乙基酮。利用0.2微米過濾器過濾所獲得的聚合物溶液。凝膠滲透色譜資料顯示Mw為2207,Mn為1148,且多分散性為1.92。實例 13
HTEOS:PhTMOS = 90:10莫耳比
將在一個燒瓶中的量為5.359克的HTEOS及0.719克PhTMOS以90:10的莫耳比溶解在11.729克MeOTHF中。將2.930克EtOTHF、1.250克去離子水及0.570克0.01莫耳硝酸的混合物混合,並在1.5小時內以三等份添加至以上HTEOS及PhTMOS溶液中。在添加完成後,繼續進行反應以再混合20小時。進行蒸發以獲得21%至25%的固體含量。向聚合物重量中添加四次甲基乙基酮(MEK)。利用0.2微米過濾器過濾所獲得的聚合物溶液。凝膠滲透色譜資料顯示Mw為1930,Mn為746,且多分散性為2.59。
對結果的評估。實例11至實例13演示可用於與HTEOS或其他含氫前驅物共聚的幾種其他單體的作用。實例 14
聚合物1 +氧化鈦= 98:2重量%
藉由將鈦(IV)異丙氧化物(TIIP,15.887克)溶解於異丙醇(200克)中而合成氧化鈦奈米顆粒。單獨製備由水性硝酸0.01M(5.033克)、乙酸(3.357克)及IPA(200克)組成的混合物,並將所述混合物滴入TIIP溶液中。在室溫下進行6小時的反應,然後向反應混合物中添加PGME(500克),並藉由旋轉蒸發器自所述溶液移除IPA。繼續進行溶劑蒸發以獲得2重量%固體溶液。在藉由0.2微米過濾器過濾所述溶液之後,最後獲得產品。然後,用PGMEA稀釋在實例1中製成的聚合物以獲得2%固體含量的溶液。添加一定量的氧化鈦奈米顆粒,質量比為聚合物1:TiOx=98:2(重量%)。在室溫下將兩種溶液混合30分鐘。然後利用0.2微米過濾器過濾所述溶液。實例 15
聚合物1 +氧化鈦= 95:5重量%
除了添加氧化鈦的量為5重量%以外,製備樣品的步驟與實例17相似。實例 16
聚合物1 +氧化鈦= 90:10重量%
除了添加氧化鈦的量為10重量%以外,製備樣品的步驟與實例15中所示者相似。實例 17
聚合物1 +氧化鈦鋁= 97:3重量%
用PGMEA稀釋在實例1中製成的聚合物以獲得2%固體含量的溶液。以聚合物1:氧化鈦鋁=97:3的質量比添加一定量的氧化鈦鋁奈米顆粒。在室溫下將兩種溶液混合30分鐘。然後利用0.2微米過濾器過濾所述溶液。實例 18
聚合物1 +氧化鈦鋁= 90:10重量%
藉由TIIP及異丙氧化鋁(aluminum isopropoxide,AlOiPr)的受控水解/縮合而進行氧化鈦鋁奈米顆粒合成。在配備有磁棒的圓底燒瓶中,將TIIP(27.831克)及AlOiPr(5克)的混合物溶解在IPA(320克)溶劑中。Ti:Al莫耳比為8:2。在另一個燒瓶中,混合8.377克水、7.350克乙酸及200克IPA的混合物。將第二個燒瓶中的溶液滴入單體溶液中。在添加完成後,向反應混合物中添加200克PGME,並在室溫下持續攪拌16小時。然後蒸發IPA及水以獲得2%固體溶液。在藉由0.2微米過濾器過濾溶液之後,最終達成反應產物。
除了添加氧化鈦鋁的量為10重量%以外,製備樣品的步驟與實例17中所示者相似。實例 19
聚合物1+氧化鉿奈米顆粒= 90:10重量%
氧化鉿奈米顆粒合成:藉由鉿(IV)正丁氧化物的受控水解/縮合而合成奈米顆粒。將量為20克的鉿(IV)正丁氧化物(95%)溶解在正丁醇溶劑(800克)中。以鉿:MAA = 1:1.5的莫耳比添加一定量的甲基丙烯酸(methacrylic acid,MAA,5.26克),並混合1小時。在室溫下藉由向反應介質中滴入水(2.91克)及n-BuOH(600克)的混合物而進行水解步驟。在添加完成後,繼續進行20小時的反應。然後蒸發正丁醇直至獲得3%固體溶液。在利用0.2微米過濾器過濾所述溶液之後達成反應產物。然後,用PGMEA稀釋在實例1中製成的聚合物以獲得2%固體含量的溶液。以按質量比聚合物1:HfOx=90:10的質量比添加一定量的氧化鉿奈米顆粒。在室溫下將兩種溶液混合30分鐘。然後利用0.2測微計塑膠微米(micrometer plastic micron)過濾所述溶液。聚合物試驗
在GPC上測定實例14至實例18的聚合物的分子量。藉由以1500轉/分鐘的轉速速度旋塗聚合物溶液(2%)30秒而製備膜樣品。然後在介於80°C至150°C範圍內的溫度下進行30秒至2分鐘的軟烘烤。
材料的GPC資料及塗佈後延遲資料見表4。 4 利用不同組成物產生的材料的塗佈後延遲。
Figure 108128318-A0304-0004
圖例(針對PCD結果): X =在80°C/1h及150°C/1h下烘烤後,PCD至少1小時為良好, Y =在80°C/1h後為良好,而在150°C/1h時為不良,且 Z =在80°C/1h及150°C/1h下均為差。 注:對於EUVL而言,PCD時間必須至少為1小時,以使得可安全地進行曝光。
結果評估。實例14至實例19演示了添加金屬氧化物材料的有益效果。僅添加少量重量百分比(weight percentage)的金屬氧化物材料便可大大減少所需的劑量。
圖9示出a)實例1、b)實例14及c)實例17的電子束結果。獲得圖案所需的劑量減少了b)17%及c)33%。實例 20
聚合物1 +光酸
用PGMEA稀釋在實例1中的聚合物以獲得具有2%固體含量的溶液。向聚合物溶液中添加量為5重量%的碘鎓(Iodonium)、(4-甲基苯基)[4-(2-甲基丙基)苯基]-、六氟磷酸(1-)(其為一種光酸)。使用磁棒將光酸分散在聚合物溶液中。
相較於不含光酸的聚合物,含光鹼的聚合物顯示出略有增強的電子束敏感度。實例 21
聚合物1 +光鹼
用PGMEA稀釋在實例1中的聚合物以獲得具有2%固體含量的溶液。向聚合物溶液中添加量為5重量%的(Z)-{[雙(二甲基胺基)亞甲基]胺基}-N-環己基(環己基胺基)-甲烷亞胺四(3-氟苯基)硼酸鹽((Z)-{[Bis(dimethylamino)methylidene]amino}-N-cyclohexyl(cyclohexylamino)-methaniminiumtetrakis(3-fluorophenyl)borate)(其為光鹼)以及作為增感劑的2重量%的2-異丙基噻噸酮(2-isopropylthioxanthone)。
與不含光鹼及增感劑的聚合物相比,含光鹼的聚合物顯示出略有增強的電子束敏感度。
結果評估:實例20及實例21演示(圖10)所述材料可用普通光酸及光鹼產生器配製,以獲得具有與實例1相似效能的樹脂組成物。縮略語
Figure 108128318-A0304-0005
參考文獻列表 非專利文獻 1、奧科羅揚武,U(Okoroanyanwu, U.),微影分子理論(Molecular Theory of Lithography.)。(國際光學學會(SPIE)出版社,2015年)。 2、岡奈斯克,A. S.(Gangnaik, A.S.)、蓋奧吉耶夫, Y. M.(Georgiev,Y.M.)&霍爾姆斯, J. D.(Holmes,J.D. ),新一代電子束抗蝕劑:材料化學評論(New Generation Electron Beam Resists: A Review. Chem. Mater.)29, 1898–1917(2017)。 3、西蒙,D.De(Simone, D. De)等人,EUV微影材料的進展與挑戰(Progresses and Challenges of EUV Lithography Materials.)。光聚合物科學技術雜誌(J. Photopolym. Sci. Technol.)27,601-610(2014)。 4、希金斯,C.D.(Higgins, C.D.)等人。用於極紫外微影的高光酸發生器抗蝕劑的解析、線邊緣粗糙度、敏感度折衷及量子產率(Resolution, line-edge roughness, sensitivity tradeoff, and quantum yield of high photo acid generator resists for extreme ultraviolet lithography.)。日本應用物理學雜誌(Jpn. J. Appl. Phys.)50,1–8(2011)。 5、迪西蒙,D.(De Simon, D.)、韋斯特斯,Y.(Vesters, Y.)&萬登博赫,G.(Vandenberghe, G.)。極紫外微影中的光阻劑(Photoresists in extreme ultraviolet lithography (EUVL))。先進光學技術(Adv. Opt. Technol.)6,163–172(2017)。 6、渡邊嶽夫(Takeo Watanabe)。EUV微影技術的現狀與展望(Current status and prospect for EUV lithography.)。2017年第7屆國際積體電路設計驗證會議(Int. Conf. Integr. Circuit, Des. Verif.)3 – 8(2017)。 7、格里戈雷蘇,A.E.(Grigorescu A.E.)&哈根,C.W.(Hagen,C.W.)。用於亞20奈米電子束微影的抗蝕劑,重點關注HSQ:最新技術水平(Resists for sub-20-nm electron beam lithography with a focus on HSQ: State of the art.)。奈米技術(Nanotechnology)20, 292001(2009)。 8、楊,J.K.W.等人。瞭解用於亞5奈米半節距微影的含氫矽酸鹽電子抗蝕劑(Understanding of hydrogen silsesquioxane electron resist for sub-5-nm-half-pitch lithography.)。真空科學科技B微電子奈米結構雜誌(J. Vac. Sci. Technol. B Microelectron. Nanom. Struct.)。27,2622(2009)。
10:基板表面 12:有機底層 14:無機中間層 16:光阻劑 18:輻照/有機底部抗反射 20:基板/表面 22:主要有機塗層/可圖案化薄膜/富碳底層 24:含金屬及矽醇的聚氫化倍半矽氧烷樹脂/組成物/抗蝕劑 26:曝光方法
圖1以示意性的方式在側視圖中示出三層微影堆疊(trilayer lithography stack)的裝配(assembly)。 圖2以示意性的方式在側視圖中示出四層微影堆疊的裝配。 圖3以示意性的方式在側視圖中示出利用根據一實施例的含金屬及羥基的聚氫矽酸鹽樹脂進行圖案化。 圖4示出含矽醇的聚氫化倍半矽氧烷的EUV交聯機制。 圖5為SEM影像,其示出在施加的EUV劑量為65.4毫焦耳/平方公分(mJ/cm2 )時使用實例1中的材料藉由EUVL獲得的LER = 1.5奈米的22奈米半節距圖案化線(half pitch patterned line)。 圖6a為SEM影像,其示出在5000微庫倫/平方公分(µC/cm2 )的劑量下使用在比較例1中製備的2%聚合物的50奈米HP線。 圖6b為SEM影像,其示出在450微庫倫/平方公分的劑量下使用在實例1中製備的2%聚合物的50奈米HP線。 圖7示出自實例1、實例3及實例5以及比較例1及比較例2中的聚合物製備的膜的FTIR光譜,其表示不同合成的矽醇含量的差異。 圖8包括三個SEM影像,其展示出a)實例1、b)實例7及c)實例10在輻射後的電子束結果;如自圖8將顯而易見,在實例7及實例10中,所需的劑量相較於實例1中為40%以利用提高的LER獲得相似的圖案。 圖9含有三個SEM影像,其展示出a)實例1、b)實例14及c)實例17的電子束結果;如自圖9將顯而易見,獲得圖案所需的劑量在b)中減少17%且在c)中減少33%。 圖10含有三個SEM影像,其展示出a)實例1、b)實例20及c)實例21的電子束結果,其示出在相同劑量下其效能。
Figure 108128318-A0101-11-0002-1
20:基板/表面
22:主要有機塗層/可圖案化薄膜/富碳底層
24:含金屬及矽醇的聚氫化倍半矽氧烷樹脂/組成物/抗蝕劑
26:曝光方法

Claims (33)

  1. 氫矽酸鹽樹脂塗佈組成物,在液相中包含樹脂,所述樹脂在矽-氧網路中表現出矽醇(Si-OH)及矽-氫(Si-H)以及可選的矽-碳鍵,或者在矽-氧-金屬網路中表現出矽-氫(Si-H)及可選的矽-碳鍵,Si-H對Si-OH的峰高比約為2:1至100:1。
  2. 如申請專利範圍第1項所述的組成物,所述組成物具有按重量計約為35%或大於35%的矽含量。
  3. 如申請專利範圍第1項或第2項所述的組成物,其中所述氫矽酸鹽樹脂具有介於3:1與50:1之間的Si-H對Si-OH峰高比。
  4. 如前述申請專利範圍中任一項所述的組成物,在溶劑中包含至少部分交聯的、可選地含有金屬的有機矽氧烷聚合物,相對於聚苯乙烯標準量測時,所述有機矽氧烷聚合物具有約為500克/莫耳至100,000克/莫耳、具體而言約為1,000克/莫耳至50,000克/莫耳的分子量。
  5. 如前述申請專利範圍中任一項所述的組成物,包含酸或潛酸或鹼或潛鹼、以及可選的潛觸媒。
  6. 如前述申請專利範圍中任一項所述的組成物,其中所述液相由用於所述氫矽酸鹽樹脂的至少一種有機溶劑可選地與水混合而形成。
  7. 如前述申請專利範圍中任一項所述的組成物,包括選自由鋯、鉿、鋁、鈦及錫及其組合組成的群組的金屬。
  8. 如前述申請專利範圍中任一項所述的組成物,包括藉由以下方式獲得的含矽醇的聚氫化倍半矽氧烷樹脂溶液:使三官能矽烷與合適的其他含矽或金屬的前驅物發生水解/縮合反應以產生含金屬及矽醇的聚氫化倍半矽氧烷。
  9. 如前述申請專利範圍中任一項所述的組成物,包括矽氧烷聚合物,所述矽氧烷聚合物含有SiO部分、沿著所述聚合物分佈的多個反應位點、以及第一SiH部分、第二SiOH部分以及中間芳族與非芳族部分、含有金屬-氧鍵的第四部分,其中所述聚合物的分子量為500克/莫耳至50,000克/莫耳,並且所述組成物較佳地更包含酸及/或鹼觸媒及溶劑。
  10. 如前述申請專利範圍中任一項所述的組成物,包括具有通式(I)的聚氫化倍半矽氧烷樹脂:
    Figure 03_image001
    (I) 其中 A、B、C及D各自獨立地表示選自1至1000的整數; Z代表官能基,且M代表金屬原子; R1 至R8 代表烴基自由基;且 a、b、m、o、y、z、p、q及x各自獨立地代表0至3的整數。
  11. 如前述申請專利範圍中任一項所述的組成物,所述組成物適於生產可澆鑄於基板上的塗佈配方,且其中所述基板上的塗層可藉由輻照可圖案化進行圖案化。
  12. 在有機溶液中產生組成物的方法,所述組成物含有含金屬及矽醇的聚氫化倍半矽氧烷樹脂,所述方法包括使三官能矽烷與其他含矽或金屬的前驅物發生水解/縮合反應以獲得含金屬及矽醇的聚氫化倍半矽氧烷。
  13. 如申請專利範圍第12項所述的方法,包括: 使具有至少二或三個附接至矽的可水解基團的第一單體含氫的矽化合物與以下進行水解 第二單體矽化合物,具有至少零、一、二或三個烴基自由基、以及至少一個附接至所述化合物的矽原子的可水解基團,可選地與以下中的至少一者一起進行水解 第三單體矽化合物,具有至少一個官能基及至少一個附接至所述化合物的矽原子的可水解基團以形成矽氧烷材料,其中所述官能基增強了對顯影劑的溶解度,以及 第四化合物,含有一或多種可水解金屬氧化物前驅物,以及 在合適的溶劑體系中將所述矽氧烷材料配製成穩定的組成物。
  14. 如申請專利範圍第12項或第13項所述的方法,其中所述第一矽化合物及所述第二矽化合物以0莫耳%至100莫耳%使用,所述第三矽化合物以0莫耳%至20莫耳%使用,且所述第四金屬化合物以0莫耳%至50莫耳%使用,所述第一矽化合物及所述第二矽化合物的總量至少為50莫耳%,具體而言至少為60莫耳%,例如至少為70莫耳%。
  15. 如申請專利範圍第12項至第14項中任一項所述的方法,包括水解具有通式(II)的第一矽化合物: R1 a -Si-R2 b (II) 其中 a為1或2的整數, b為2或3的整數, R1 表示氫原子且 R2 表示可獨立地選自羥基、烷氧基、醯氧基及鹵素的可水解基團。
  16. 如申請專利範圍第12項至第15項中任一項所述的方法,包括藉由使具有所述通式(II)的第一矽化合物與具有通式(III)的第二化合物水解而產生共聚(有機矽氧烷),其中符號a、b、R1 及R2 具有與上文相同的含義: R3 m -SiR2 n -R4 o (III) 其中 R3 及R4 獨立地選自烷基、芳基、芳烷基、鹵代烷基、鹵代芳基、鹵代芳烷基、具有環氧基的有機基、巰基、烷氧基芳基、醯氧基芳基、異氰尿酸酯基、羥基、環狀胺基或氰基或其組合,或 R2 及R3 代表烷氧基、醯氧基或鹵素基, m為0至1的整數, n為2至4的整數,且 o為0至1的整數, 其中m+n+o的總值可不超過4。
  17. 如申請專利範圍第12項至第16項中任一項所述的方法,包括藉由使具有所述通式(II)的第一矽化合物與具有通式(IV)的第二化合物水解而產生包含共聚(有機矽氧烷)的組成物,其中所述符號a、b、R1 及R2 具有與上述式II中相同的含義, Zx -R5 y -SiR2 n -R6 z (IV) 其中 Z為選自羥基、羧酸、巰基、胺或其鹽或促進在水性顯影劑中的溶解度的第四銨鹽的基團,且 R5 為與Z及Si二者共價結合的間隔基團,且可獨立地選自衍生自烷基、芳基、芳烷基、鹵代烷基、鹵代芳基、鹵代芳烷基、烷氧基芳基、醯氧基芳基或其組合的二價基團, R6 獨立地選自烷基、芳基、芳烷基、鹵代烷基、鹵代芳基、鹵代芳烷基、具有環氧基的有機基、巰基、烷氧基芳基、醯氧基芳基、羥基、環胺基、或氰基或其組合, R2 為烷氧基、醯氧基或鹵素基, y為0至2的整數, n為1至3的整數,且 z為0至11的整數,且 其中y+n+z的總值為4或小於4。
  18. 如申請專利範圍第12項至第17項中任一項所述的方法,包括藉由使具有所述通式(II)的第一矽化合物與具有通式(V)的第二化合物水解而產生共聚(有機矽氧烷),其中符號a、b、R1 及R2 具有與上述式II中相同的含義, R7 p -MR8 q (V) 其中 R7 獨立地選自烷基、芳基、芳烷基、鹵代烷基、鹵代芳基、鹵代芳烷基、烯基、烷氧基芳基、醯氧基芳基或其組合, M獨立地選自能夠形成前驅物的金屬,所述前驅物可水解及縮合成金屬氧化物或金屬氧代氫氧化物, p為0至1的整數,條件為M能夠形成穩定的碳金屬鍵, q為自Mox -p獲得的數目的整數,其中Mox 為所述金屬前驅物的氧化狀態,且p為共價附接至所述金屬前驅物的碳自由基R7 的數目,且 R8 為烷氧基、醯氧基、鹵素基、羥基或與另一個金屬原子連接的氧原子;或R8 為金屬的雙牙配體或配位配體。
  19. 如申請專利範圍第12項至第18項中任一項所述的方法,包括使用經受控水解/縮合反應的HTEOS(HSi(OC2 H5 )3 )或HTEOS與其他三官能矽烷的混合物來製備部分縮合的聚氫化倍半矽氧烷樹脂。
  20. 如申請專利範圍第19項所述的方法,其中所述三官能矽烷通常選自甲基三甲氧基矽烷(MTMOS)、甲基三乙氧基矽烷(MTEOS)、三甲氧基乙基矽烷(ETMOS)或二乙氧基二甲基矽烷(DMDEOS)或三甲氧基苯基矽烷(PhTMOS)或其組合。
  21. 利用特定波長下的光輻射對塗佈有含金屬及矽醇的聚氫化倍半矽氧烷的基板進行圖案化的方法,所述方法包括以下步驟: 沿選定圖案輻照經塗佈的基板,以形成具有輻照塗層區域及未輻照塗層區域的輻照結構;以及 選擇性地對所述輻照結構進行顯影,以移除大部分的所述未輻照塗層來形成圖案化的基板。
  22. 如申請專利範圍第21項所述的方法,其中利用波長介於1奈米與200奈米之間的光輻射來輻照塗佈有含金屬及矽醇的聚氫化倍半矽氧烷的基板。
  23. 如申請專利範圍第22項所述的方法,其中利用波長為13.5奈米的光輻射來輻照塗佈有含金屬及矽醇的聚氫化倍半矽氧烷的基板。
  24. 如申請專利範圍第21項至第23項中任一項所述的方法,其中所述塗層包括矽氧烷聚合物,所述矽氧烷聚合物含有SiO部分、沿著所述聚合物分佈的多個反應位點、以及第一SiH部分、第二SiOH部分以及中間芳族與非芳族部分、含有金屬-氧鍵的第四部分,其中所述聚合物的分子量為500克/莫耳至50000克/莫耳。
  25. 如申請專利範圍第21項至第24項中任一項所述的方法,其中所述塗層包括具有通式(I)的聚氫化倍半矽氧烷樹脂:
    Figure 03_image006
    (I) 其中 A、B、C及D各自獨立地表示選自1至1000的整數; Z代表官能基,且M代表金屬原子; R1 至R8 代表烴基自由基;且 a、b、m、o、y、z、p、q及x各自獨立地代表0至3的整數。
  26. 如申請專利範圍第21項至第25項中任一項所述的方法,包括圖案化基板,包括: 沿選定圖案輻照經塗佈的基板,以形成具有輻照塗層區域及未輻照塗層區域的輻照結構,其中所述經塗佈的基板包括塗層,所述塗層的平均厚度介於約5奈米至約400奈米且在材料結構中包括具有Si-H鍵及Si-OH鍵的Si-O-Si網路; 在約45°C至約200°C的溫度下加熱所述輻照結構0.1分鐘至約30分鐘,以形成退火輻照結構;以及 選擇性地對所述退火輻照結構進行顯影,以移除大部分的所述未輻照塗層來形成圖案化的基板。
  27. 如申請專利範圍第21項至第26項中任一項所述的方法,其中所述輻照結構具有不溶於水性鹼的輻照塗層及可溶於水性鹼的未輻照塗層,使得所述輻照結構可經受負色調成像。
  28. 如申請專利範圍第21項至第27項中任一項所述的方法,包括圖案化基板,所述基板包括具有表面且在沿所述表面的選定區域處具有塗層而在沿所述表面的其他區域處不存在塗層的基板,所述塗層包括具有矽-碳鍵及矽-氫及/或具有矽醇鍵的矽-氧或矽-氧-金屬網路,所述塗層可溶於有機液體或水性鹼中。
  29. 一種形成抗蝕膜的方法,藉由將用於形成抗蝕底層膜的如申請專利範圍第1項至第11項中任一項所述的組成物塗敷於半導體基板上並烘烤所述組成物來達成。
  30. 一種產生半導體裝置的方法,包括: 將抗蝕底層膜或若干底層膜塗敷於半導體基板上,並烘烤所述組成物以形成一或多個抗蝕底層膜; 將如申請專利範圍第1項至第11項中任一項所述的組成物作為抗蝕劑塗敷於一或多個抗蝕底層膜上以形成抗蝕膜; 將所述抗蝕膜曝光; 在所述曝光之後,將所述抗蝕膜顯影以形成抗蝕圖案; 利用所述抗蝕圖案蝕刻所述抗蝕底層膜;以及 使用如此圖案化的所述抗蝕膜及如此圖案化的所述抗蝕底層膜來製作所述半導體基板。
  31. 一種產生半導體裝置的方法,包括: 在半導體基板上形成有機底層膜; 將用於形成抗蝕膜的如申請專利範圍第1項至第11項中任一項所述的組成物塗敷於所述有機底層膜上並烘烤所述組成物以形成抗蝕膜; 將所述抗蝕膜曝光; 在所述曝光之後,將所述抗蝕膜顯影以形成抗蝕圖案; 利用所述抗蝕圖案蝕刻所述抗蝕底層膜; 使用如此圖案化的所述抗蝕底層膜蝕刻所述有機底層膜;以及 使用如此圖案化的所述有機底層膜來製作所述半導體基板。
  32. 一種產生半導體裝置的方法,所述方法包括: 將抗蝕底層膜或若干底層膜塗敷於半導體基板上,並烘烤所述組成物以形成一或多個抗蝕底層膜; 將如申請專利範圍第1項至第11項中任一項所述的組成物作為抗蝕劑塗敷於一或多個抗蝕底層膜上以形成抗蝕膜; 將所述抗蝕膜曝光; 在所述曝光之後,將所述抗蝕膜顯影以形成抗蝕圖案; 利用所述抗蝕圖案蝕刻所述抗蝕底層膜;以及 使用如此圖案化的所述抗蝕膜及如此圖案化的所述抗蝕底層膜來製作所述半導體基板。
  33. 一種產生半導體裝置的方法,包括以下步驟: 在半導體基板上形成有機底層膜; 將用於形成抗蝕膜的如申請專利範圍第1項至第11項中任一項所述的組成物塗敷於所述有機底層膜上並烘烤所述組成物以形成抗蝕膜; 將所述抗蝕膜曝光; 在所述曝光之後,將所述抗蝕膜顯影以形成抗蝕圖案; 利用所述抗蝕圖案蝕刻所述抗蝕底層膜; 使用如此圖案化的所述抗蝕底層膜蝕刻所述有機底層膜;以及 使用如此圖案化的所述有機底層膜來製造所述半導體基板。
TW108128318A 2018-08-10 2019-08-08 氫矽酸鹽樹脂塗佈組成物、產生組成物的方法、基板圖案化的方法、形成抗蝕膜的方法以及產生半導體裝置的方法 TW202018025A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FI20185677A FI129480B (en) 2018-08-10 2018-08-10 Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning
FI20185677 2018-08-10

Publications (1)

Publication Number Publication Date
TW202018025A true TW202018025A (zh) 2020-05-16

Family

ID=67874467

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108128318A TW202018025A (zh) 2018-08-10 2019-08-08 氫矽酸鹽樹脂塗佈組成物、產生組成物的方法、基板圖案化的方法、形成抗蝕膜的方法以及產生半導體裝置的方法

Country Status (9)

Country Link
US (1) US20210311394A1 (zh)
EP (1) EP3834041A2 (zh)
JP (1) JP2021534315A (zh)
KR (1) KR20210042959A (zh)
CN (1) CN113015940A (zh)
FI (1) FI129480B (zh)
SG (1) SG11202101348VA (zh)
TW (1) TW202018025A (zh)
WO (1) WO2020030855A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI843492B (zh) 2022-03-25 2024-05-21 日商信越化學工業股份有限公司 含矽之金屬硬遮罩形成用組成物及圖案形成方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022507368A (ja) 2018-11-14 2022-01-18 ラム リサーチ コーポレーション 次世代リソグラフィにおいて有用なハードマスクを作製する方法
JP7189375B2 (ja) 2020-01-15 2022-12-13 ラム リサーチ コーポレーション フォトレジスト接着および線量低減のための下層
US11669012B2 (en) * 2020-02-21 2023-06-06 Applied Materials, Inc. Maskless lithography method to fabricate topographic substrate
KR20230159618A (ko) * 2020-07-07 2023-11-21 램 리써치 코포레이션 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스
CN116134380A (zh) * 2020-07-17 2023-05-16 朗姆研究公司 光敏混合膜的形成方法
KR102521626B1 (ko) * 2021-12-20 2023-04-14 전남대학교산학협력단 주석 함유 실세스퀴옥산계 고분자 화합물 및 이를 포함하는 포토레지스트 조성물
WO2023170438A1 (en) * 2022-03-10 2023-09-14 Totalenergies One Tech Photoactivable hybrid organic-inorganic sol-gel resin for 3d printing
JP2023143802A (ja) * 2022-03-25 2023-10-06 信越化学工業株式会社 ケイ素含有メタルハードマスク形成用組成物及びパターン形成方法
CN115290677B (zh) * 2022-08-03 2023-08-22 广东聚德机械有限公司 一种基材的留白检测方法及涂布系统

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4753855A (en) * 1986-12-04 1988-06-28 Dow Corning Corporation Multilayer ceramic coatings from metal oxides for protection of electronic devices
US4999397A (en) * 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
US5010159A (en) * 1989-09-01 1991-04-23 Dow Corning Corporation Process for the synthesis of soluble, condensed hydridosilicon resins containing low levels of silanol
US5238787A (en) * 1991-04-22 1993-08-24 Dow Corning Corporation Photodelineable coatings from hydrogen silsesquioxane resin
JP3499032B2 (ja) * 1995-02-02 2004-02-23 ダウ コーニング アジア株式会社 放射線硬化性組成物、その硬化方法及びパターン形成方法
JP3529953B2 (ja) * 1996-09-03 2004-05-24 株式会社東芝 絶縁膜パターンの形成方法および感光性組成物
US5776235A (en) * 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5707681A (en) * 1997-02-07 1998-01-13 Dow Corning Corporation Method of producing coatings on electronic substrates
EP0857705B1 (en) * 1997-02-07 2002-07-31 Dow Corning Corporation Method of producing coatings on electronic substrates
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
WO2005007747A2 (en) * 2003-07-03 2005-01-27 Dow Corning Corporation Photosensitive silsesquioxane resin
US8088547B2 (en) * 2004-11-02 2012-01-03 Dow Corning Corporation Resist composition
US8728335B2 (en) * 2009-07-23 2014-05-20 Dow Corning Corporation Method and materials for double patterning
US8163658B2 (en) * 2009-08-24 2012-04-24 International Business Machines Corporation Multiple patterning using improved patternable low-k dielectric materials
KR101964072B1 (ko) * 2011-07-20 2019-04-01 닛산 가가쿠 가부시키가이샤 티탄 및 실리콘 함유 리소그래피용 박막 형성 조성물
KR20140099462A (ko) * 2011-11-08 2014-08-12 다우 코닝 코포레이션 유기폴리실록산 조성물, 및 경화된 실리콘 탄성중합체의 표면 개질
CN109415513B (zh) * 2016-06-16 2022-02-25 美国陶氏有机硅公司 富含硅的倍半硅氧烷树脂

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI843492B (zh) 2022-03-25 2024-05-21 日商信越化學工業股份有限公司 含矽之金屬硬遮罩形成用組成物及圖案形成方法

Also Published As

Publication number Publication date
FI129480B (en) 2022-03-15
WO2020030855A3 (en) 2020-04-02
WO2020030855A2 (en) 2020-02-13
SG11202101348VA (en) 2021-03-30
EP3834041A2 (en) 2021-06-16
JP2021534315A (ja) 2021-12-09
CN113015940A (zh) 2021-06-22
KR20210042959A (ko) 2021-04-20
US20210311394A1 (en) 2021-10-07
FI20185677A1 (en) 2020-02-11

Similar Documents

Publication Publication Date Title
JP7050137B2 (ja) ハードマスクおよび充填材料として安定な金属化合物、その組成物、およびその使用方法
TW202018025A (zh) 氫矽酸鹽樹脂塗佈組成物、產生組成物的方法、基板圖案化的方法、形成抗蝕膜的方法以及產生半導體裝置的方法
KR101339763B1 (ko) 반사방지 하드 마스크 조성물
TWI408503B (zh) 與外塗光阻一起使用的塗覆組合物
JP6810696B2 (ja) ハードマスク組成物および半導体基板上での微細パターンの形成方法
JP5710546B2 (ja) パターン形成方法
JP5642731B2 (ja) パターン形成方法
TWI531865B (zh) A multilayer photoresist process pattern forming method and an inorganic film forming composition for a multilayer photoresist process
TWI737870B (zh) 包含金屬氧化物奈米粒子及有機聚合物之旋轉塗佈材料組合物
KR101316200B1 (ko) 규소 함유막 형성용 조성물, 규소 함유막, 규소 함유막형성 기판 및 이를 이용한 패턴 형성 방법
KR101233905B1 (ko) 규소 함유막 형성용 조성물, 규소 함유막, 규소 함유막형성 기판 및 이를 이용한 패턴 형성 방법
US20220162391A1 (en) Functional hydrogen silsesquioxane resins and the use thereof
KR20050044501A (ko) 포토리소그래피용 무반사 코팅 및 이의 제조 방법
TW202414094A (zh) 電子器件製造方法及堆疊體
TW202414093A (zh) 電子器件製造方法及堆疊體