TW202015182A - 半導體結構的形成方法 - Google Patents

半導體結構的形成方法 Download PDF

Info

Publication number
TW202015182A
TW202015182A TW108122525A TW108122525A TW202015182A TW 202015182 A TW202015182 A TW 202015182A TW 108122525 A TW108122525 A TW 108122525A TW 108122525 A TW108122525 A TW 108122525A TW 202015182 A TW202015182 A TW 202015182A
Authority
TW
Taiwan
Prior art keywords
source
drain
layer
epitaxial
dielectric layer
Prior art date
Application number
TW108122525A
Other languages
English (en)
Inventor
林義雄
邱奕勛
張尚文
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202015182A publication Critical patent/TW202015182A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Abstract

方法包括形成層間介電層於第一磊晶的源極/汲極結構及一第二磊晶的源極/汲極結構上,其中第一磊晶的源極/汲極結構與第二磊晶的源極/汲極結構相鄰;形成虛置接點結構於第一磊晶的源極/汲極結構上的層間介電層中;移除第二磊晶的源極/汲極結構上的層間介電層的一部份與虛置接點結構的一部份,以形成第一溝槽;移除虛置接點結構的保留部份,以形成第二溝槽;以及形成金屬源極/汲極接點於第一溝槽與第二溝槽中。

Description

半導體結構的形成方法
本發明實施例關於半導體結構,更特別關於接點結構與其形成方法。
半導體積體電路產業已經歷指數成長。積體電路材料與設計的技術進展,使每一代的積體電路均比前一代具有更小且更複雜的電路。在積體電路演進中,功能密度(比如單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(比如製作製程所能產生的最小構件或線路)縮小而增加。尺寸縮小的製程通常有利於增加產能與降低相關成本,但亦增加形成與處理積體電路的複雜性。
舉例來說,在結構尺寸持續縮小時,製作源極/汲極接點更具挑戰性。在較小的長度尺寸中,形成源極/汲極接點於多個源極/汲極結構上的一般方法可得利於製程複雜度降低,以及多個圖案化、沉積、與平坦化製程的相關生產成本減少等改良。
本發明一實施例提供之半導體結構的形成方法包括:形成層間介電層於第一磊晶的源極/汲極結構及一第二磊晶的源極/汲極結構上,其中第一磊晶的源極/汲極結構與第二磊晶的源極/汲極結構相鄰;形成虛置接點結構於第一磊晶的源極/汲極結構上的層間介電層中;移除第二磊晶的源極/汲極結構上的層間介電層的一部份與虛置接點結構的一部份,以形成第一溝槽;移除虛置接點結構的保留部份,以形成第二溝槽;以及形成金屬源極/汲極接點於第一溝槽與第二溝槽中。
本發明另一實施例提供之半導體結構的形成方法包括:蝕刻層間介電層以形成第一溝槽露出第一鰭狀物上的第一源極/汲極結構,其中第一源極/汲極結構與第二鰭狀物上的第二源極/汲極結構相鄰;沉積介電材料於第一溝槽中以形成介電結構;以及將介電結構置換成導電結構,其中導電結構直接接觸第一源極/汲極結構並延伸於第二源極/汲極結構上。在一些實施例中,置換步驟包括:移除第二源極/汲極結構上的層間介電層的一部份與介電結構的一部份,以形成第二溝槽,其中第二溝槽的寬度越過介電結構的寬度並越過第二源極/汲極結構的一部份上;移除介電結構的保留部份以形成第三溝槽露出第一源極/汲極結構;以及形成導電結構於第二溝槽及第三溝槽中。
本發明又一實施例提供之半導體結構,包括:第一磊晶的源極/汲極結構;第二磊晶的源極/汲極結構,沿著第一方向與第一磊晶的源極/汲極結構相鄰;第三磊晶的源極/汲極結構,沿著第二方向與第二磊晶的源極/汲極結構相鄰,且第二方向不同於第一方向;層間介電層,位於第一磊晶的源極/汲極結構、第二磊晶的源極/汲極結構、與第三磊晶的源極/汲極結構上;第一源極/汲極接點,位於第一磊晶的源極/汲極結構上並接觸第一磊晶的源極/汲極結構,其中第一源極/汲極接點的一部份橫向延伸於第二磊晶的源極/汲極結構上,並與第二磊晶的源極/汲極結構隔有層間介電層;第二源極/汲極接點,位於第三磊晶的源極/汲極結構上並接觸第三磊晶的源極/汲極結構;以及金屬層,位於第二源極/汲極接點上,其中金屬層設置以耦接第一源極/汲極接點與第二源極/汲極接點。
下述內容提供的不同實施例或實例可實施本發明的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接或物理接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本揭露之多種例子中可重複標號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間具有相同標號之單元之間具有相同的對應關係。
此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間。此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。此外,當數值或數值範圍的描述有「約」、「近似」、或類似用語時,除非特別說明否則其包含所述數值的+/-10%。舉例來說,用語「約5nm」包含的尺寸範圍介於4.5nm至5.5nm之間。
本發明實施例一般關於半導體裝置,更特別關於場效電晶體如平面場效電晶體或三維鰭狀場效電晶體。本發明實施例的目的為提供接點結構以連接半導體裝置中的裝置級源極/汲極結構及內連線結構。
在製作場效電晶體時,通常形成源極/汲極接點以連接裝置級源極/汲極結構與內連線結構(如通孔與導電線路)。通常進行一系列的圖案化製程以形成溝槽(如接點孔)於每一源極/汲極結構上,不論給定的源極/汲極結構最終是否需要主動(或導電)的源極/汲極接點。在只需形成內部節點(如非主動或絕緣的源極/汲極接點)而非金屬的源極/汲極接點,以符合特定的設計需求時,以一系列的沉積與平坦化製程形成介電結構(如虛置源極/汲極接點)於溝槽中。雖然這些方法具有優點如減少圖案化製程的製程變異,但仍需改進製程以減少製程複雜度並降低圖案化、沉積、與平坦化製程的相關生產成本。此外,需形成導電天橋結構以橫向地延伸金屬源極/汲極接點(而不需進行額外圖案化與沉積製程),進而在減少結構尺寸而減少線路路徑數目時,提供標準單元中的額外線路選擇。
圖1與14分別顯示本發明多種實施例中,用於形成半導體裝置200的方法100與300。方法100與300僅用以舉例而非侷限本發明實施例至申請專利範圍未實際記載處。在方法100與300之前、之中、與之後可提供額外步驟,且方法的額外實施例可取代、省略、或調換一些所述步驟。方法100將搭配圖2與13B說明於下,其顯示半導體裝置200的部份於方法100的中間步驟時的圖式。方法300將搭配圖2與15至23B說明於下,其顯示半導體裝置200的部份於方法300的中間步驟時的圖式。圖3至11、13B、與15至23B係裝置200沿著穿過圖2所示的鰭狀物204a、204b、206a、與206b的源極/汲極區之剖線AA’之剖視圖,而圖12A與12B係裝置200的部份上視圖。裝置200可為製作積體電路時的中間裝置或其部份,其可包含靜態隨機存取記憶體及/或其他邏輯電路、被動構件(如電阻、電容、或電感)、以及主動構件(如p型場效電晶體、n型場效電晶體、鰭狀場效電晶體、金氧半場效電晶體、互補式金氧半電晶體、雙極性電晶體、高電壓電晶體、高頻電晶體、及/或其他記憶體)。本發明實施例並不侷限於任何特定數目的裝置或裝置區,或任何特定的裝置設置。舉例來說,雖然圖式中的裝置200為三維鰭狀場效電晶體裝置,本發明實施例仍可用於製作平面場效電晶體裝置。
如圖1至3所示,方法100的步驟102提供的裝置200包括基板202,其具有第一區204與第二區206,其中第一區204包括兩個三維主動區如鰭狀物204a與204b,而第二區206包括兩個鰭狀物206a與206b。裝置200更包括高介電常數的閘極介電層與金屬閘極結構210位於第一區204及第二區206上、閘極間隔物212位於高介電常數的閘極介電層與金屬閘極結構210的側壁上、源極/汲極結構214與216分別位於鰭狀物204a (與204b)及鰭狀物206a (與206b)上、隔離結構208位於基板202上以分開裝置200的多種構件、以及層間介電層218位於隔離結構208和源極/汲極結構214與216上。雖然在所述實施例中的第一區204與第二區206各自包含兩個鰭狀物,本發明實施例並不限於此設置。舉例來說,第一區204與第二區206可各自包含單一鰭狀物或超過兩個鰭狀物。此外,源極/汲極結構214與216可合併在一起,或分開如圖示。
基板202可包含半導體元素(單一元素)如矽、鍺、及/或其他合適材料;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、及/或其他合適材料;半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、磷砷化鎵銦;及/或其他合適材料。基板202可為具有一致組成的單層材料。在其他實施例中,基板202可包含多個材料層,其具有類似或不同的組成以適用於形成積體電路裝置。在一例中,基板202可為絕緣層上矽基板,其具有矽層形成於氧化矽層上。在另一例中,基板202可包含導電層、半導體層、介電層、其他層、或上述之組合。
在一些實施例中,基板202包括場效電晶體與多種摻雜區(如源極/汲極區)形成於基板202之中或之上。摻雜區可摻雜n型摻質如磷或砷及/或p型摻質如硼,端視設計需求而定。摻雜區可直接形成於基板202上、形成於p型井結構中、形成於n型井結構中、形成於雙井結構中、或採用隆起結構。摻雜區的形成方法可為佈植摻質原子、原位摻雜的磊晶成長、及/或其他合適技術。
如圖2與3所示,第一區204可適用於形成n型鰭狀場效電晶體,而第二區206可適用於形成p型鰭狀場效電晶體。在其他實施例中,第一區204與第二區206適用於形成相同型態的鰭狀場效電晶體,比如都是n型或都是p型。此設置僅用於說明目的而非侷限本發明實施例。鰭狀物204a、204b、206a、與206b的製作方法,可採用含光微影與蝕刻製程的合適製程。光微影製程可包括形成光阻層於基板202上、曝光光阻至一圖案、進行曝光後烘烤製程、以及顯影光阻以形成含光阻的遮罩單元(未圖示)。接著採用遮罩單元蝕刻凹陷至基板202中,以保留鰭狀物204a、204b、206a、與206b於基板202上。蝕刻製程可包括乾蝕刻、濕蝕刻、反應性離子蝕刻、及/或其他合適製程。
多種其他實施例亦可適用於形成鰭狀物204a、204b、206a、與206b的方法。舉例來說,可採用雙重圖案化或多重圖案化製程圖案化鰭狀物204a、204b、206a、與206b。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距小於單一直接的光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。採用自對準製程沿著圖案化的犧牲層形成間隔物。接著移除犧牲層,再採用保留的間隔物或芯圖案化鰭狀物。
隔離結構208可包含氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃、低介電常數的介電材料、及/或其他合適材料。隔離結構208可包含淺溝槽隔離結構。在一實施例中,隔離結構208的形成方法為形成鰭狀物204a、204b、206a、與206b時,蝕刻溝槽於基板202中。接著可由沉積製程將上述隔離材料填入溝槽,再進行化學機械平坦化/研磨製程。亦可實施其他隔離結構如場氧化物、局部氧化矽、及/或其他合適結構,以作為隔離結構208。在其他實施例中,隔離結構208可包含多層結構,比如具有一或多個熱氧化物襯墊層。隔離結構208的沉積方法可為任何合適方法,比如化學氣相沉積、可流動的化學氣相沉積、旋轉塗佈玻璃、其他合適方法、或上述之組合。
如圖2所示,裝置200包括源極/汲極結構214與216分別位於鰭狀物204a、204b、206a、與206b上,且源極/汲極結構214與216各自與高介電常數的閘極介電層與金屬閘極結構210相鄰。源極/汲極結構214與216的形成方法可為任何合適技術,比如蝕刻製程後進行一或多道磊晶製程。在一例中,進行一或多道蝕刻製程移除鰭狀物204a、204b、206a、與206b的部份,以分別形成凹陷(未圖示)於其中。可由氫氟酸溶液或其他合適溶液進行清潔製程,以清潔凹陷。接著進行一或多道磊晶成長製程,以成長磊晶結構於凹陷中。每一源極/汲極結構214與216可適用於p型鰭狀場效電晶體裝置(比如p型磊晶材料),或適用於n型鰭狀場效電晶體裝置(比如n型磊晶材料)。p型磊晶材料可包括矽鍺的一或多個磊晶層,其中矽鍺可摻雜p型摻質如硼、鍺、銦、及/或其他p型摻質。n型的磊晶材料可包含一或多個矽或碳化矽的磊晶層,且矽或碳化矽摻雜n型摻質如砷、磷、及/或其他n型摻質。在至少一實施例中,源極/汲極結構214包含p型磊晶材料,而源極/汲極結構216包含n型磊晶結構,不過本發明實施例不侷限於此。
裝置200亦包含高介電常數的閘極介電層與金屬閘極結構210位於鰭狀物204a、204b、206a、與206b的一部份上,使高介電常數的閘極介電層與金屬閘極結構210夾設於源極/汲極結構214與216之間。高介電常數的閘極介電層與金屬閘極結構210包括高介電常數的介電層(未圖示,其介電常數大於氧化矽的介電常數)於鰭狀物204a、204b、206a、與206b上,以及金屬閘極(未圖示)位於高介電常數的介電層上。金屬閘極可更包含至少一功函數金屬層與形成其上的基體導電層。功函數金屬層可為p型或n型功函數金屬層。例示性的功函數材料包括氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、鋯矽化物、鉬矽化物、鉭矽化物、鎳矽化物、鈦、銀、鉭鋁、碳化鉭鋁、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、其他合適的功函數材料、或上述之組合。基體導電層可包含銅、鎢、鋁、鈷、其他合適材料、或上述之組合。高介電常數的閘極介電層與金屬閘極結構210亦可包含多個其他層(未圖示),比如位於鰭狀物204a、204b、206a、與206b之間的界面層、高介電常數的介電層、硬遮罩層、蓋層、阻障層、其他合適的層狀物、或上述之組合。高介電常數的閘極介電層與金屬閘極結構210的多種層狀物之沉積方法可為任何合適方法,比如化學氧化、熱氧化、原子層沉積、化學氣相沉積、物理氣相沉積、電鍍、其他合適方法、或上述之組合。可進行研磨製程如化學機械研磨,以自高介電常數的閘極介電層與金屬閘極結構的上表面移除多餘材料,可平坦化裝置200的上表面。
裝置200更包含閘極間隔物212位於高介電常數的閘極介電層與金屬閘極結構210的側壁上。閘極間隔物212可包含介電材料如氧化矽、氮化矽、碳化矽、氮氧化矽、其他合適的介電材料、或上述之組合。閘極間隔物212可為單層結構或多層結構。閘極間隔物212的形成方法可為先沉積順應性的間隔物材料於裝置200上,接著進行非等向蝕刻製程以移除間隔物材料的部份,以形成閘極間隔物212於虛置閘極結構的側壁上。在一些實施例中,裝置200包括蓋材料層(未圖示)位於金屬閘極與閘極間隔物212上,以保護高介電常數的閘極介電層與金屬閘極結構210在後續製作製程中免於預期之外的損傷。舉例來說,蓋材料層可包含任何合適的介電材料如碳氮化矽、氧化鋁、氮氧化鋁、氧化鋯、氮化鋯、其他合適材料、或上述之組合。
在許多實施例中,在製作裝置200的其他構件(如源極/汲極結構214與216)之後,才形成高介電常數的閘極介電層與金屬閘極結構210。此製程通常稱作閘極置換製程,其包含形成虛置閘極結構(未圖示)作為高介電常數的閘極介電層與金屬閘極結構210的占位結構、形成源極/汲極結構214與216、形成層間介電層218 (並視情況形成接點蝕刻停止層)於虛置閘極結構及源極/汲極結構214與216上、進行化學機械研磨製程平坦化層間介電層218以露出虛置閘極結構的上表面、移除層間介電層218中的虛置閘極結構以形成露出鰭狀物204a、204b、206a、與206b的通道區之溝槽、以及形成高介電常數的閘極介電層與金屬閘極結構210於溝槽中以完成閘極置換製程。在一些實施例中,層間介電層218包括介電材料如四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽如硼磷矽酸鹽玻璃、摻雜氟的氧化矽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、其他合適的介電材料、或上述之組合。在所述實施例中,層間介電層218包括含氧化的介電材料。層間介電層218可包含具有多種介電材料的多層結構,且其形成方法可為沉積製程如化學氣相沉積、可流動的化學氣相沉積、旋轉塗佈玻璃、其他合適方法、或上述之組合。接點蝕刻停止層可包含氮化矽、氮氧化矽、含氧或碳元素的氮化矽、其他合適材料、或上述之組合,且其形成方法可為化學氣相沉積、物理氣相沉積、原子層沉積、其他合適方法、或上述之組合。
如圖4所示,方法100的步驟104圖案化並蝕刻層間介電層218,以形成溝槽222於 源極/汲極結構214上(而非源極/汲極結構216)上。特別的是,方法100形成溝槽222於層間介電層218中的方法為進行一系列的圖案化與蝕刻製程。在一例示性的實施例中,一系列的圖案化與蝕刻製程包括形成遮罩單元224於層間介電層218上,以露出即將被蝕刻的層間介電層218的部份,接著蝕刻層間介電層218以露出溝槽222中的源極/汲極結構214,且蝕刻方法可為乾蝕刻、濕蝕刻、反應性離子蝕刻、其他合適製程、或上述之組合。遮罩單元224可包含光阻材料,其設置為可由一或多道微影製程圖案化。在許多實施例中,蝕刻製程為乾蝕刻製程,其採用一或多種蝕刻劑如含氟氣體(如四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、含氯氣體(如氯氣、氯仿、四氯化碳、及/或三氯化硼)、含溴氣體(如溴化氫及/或溴仿)、含碘氣體、含氧氣體(如氧氣)、含氮氣體(如氮氣)、氧、氦氣、氬氣、其他合適氣體、或上述之組合。在所述實施例中,乾蝕刻製程採用含氟蝕刻氣體,其設置以移除遮罩單元224所露出的層間介電層218之部份。在進行蝕刻製程之後,可由任何合適方法如電漿灰化或光阻剝除移除遮罩單元224。雖然未圖示於此,但本發明實施例亦可形成溝槽222於源極/汲極結構216上而非源極/汲極結構214上。換言之,溝槽222形成於兩個相鄰的源極/汲極結構之一(如源極/汲極結構214或216)上。
一般而言,設置以提供接點結構的溝槽如溝槽222,可先由一系列上述的圖案化蝕刻製程形成於每一源極/汲極結構(比如源極/汲極結構214與216)上。換言之,可圖案化遮罩單元如遮罩單元224以露出每一源極/汲極結構,之後可進行一或多道合適的蝕刻製程(比如步驟104的乾蝕刻製程)。之後對一或多個源極/汲極結構不需主動(或導電)的源極/汲極接點(比如本發明實施例中的源極/汲極結構216)以用於後續製程步驟的實施例而言,可將介電材料填入形成其上的溝槽以形成虛置接點結構。虛置接點結構可與設置為形成主動接點結構的溝槽相鄰。雖然一次圖案化與蝕刻多個溝槽可減少溝槽之間的整體製程變數,但需進行額外沉積步驟以將介電材料填入溝槽以形成非主動的源極/汲極接點(如絕緣或虛置的源極/汲極接點),之後還需進行一或多道平坦化製程如化學機械研磨。相反地,只直接圖案化並蝕刻這些提供主動接點結構的溝槽,可省略形成非主動源極/汲極接點所需的額外圖案化、沉積、與平坦化製程,此有助於降低製程複雜度。值得注意的是,在標準單元尺寸減少而減少線路路徑數目的實施例中,此減少製程步驟的狀況特別普遍。
如圖5與6所示,方法100的步驟106接著將介電材料230填入溝槽222 (介電材料230的部份沉積於層間介電層218的上表面上),以形成介電結構232。在所述實施例中,介電材料230的部份亦沉積於層間介電層218的上表面上。介電材料230可包含任何合適的介電材料,比如含碳材料(如旋轉塗佈碳)、含氧材料(如氧化矽、旋轉塗佈玻璃、金屬氧化物、或類似物)、含氮材料(如氮化矽或金屬氮化物如氮化鈦、氮化鉭、氮化鎢、或類似物)、含矽材料(如非晶矽)、其他合適介電材料、或上述之組合。在許多實施例中,介電材料230不同於層間介電層218中包含的介電材料,使蝕刻製程對介電材料230與層間介電層218的蝕刻速率不同。舉例來說,可最大化介電材料230與層間介電層218對給定蝕刻劑的蝕刻選擇性。如圖6所示,方法100由合適製程如化學機械研磨平坦化裝置200的上表面,並移除層間介電層218的上表面上的多餘介電材料230,以形成介電結構232。介電結構232如圖6所示,係由高度H1所定義。高度H1由介電結構232的上表面至下表面(比如源極/汲極結構214的上表面)。
如圖7所示,方法100的步驟108移除介電結構232的一部份以及位於源極/汲極結構216上的層間介電層218的一部份,以形成溝槽242。在許多實施例中,以與搭配圖4說明的步驟104類似的一系列圖案化與蝕刻製程實施步驟108。在一例中,遮罩單元234可形成於層間介電層218上,以露出裝置200即將被蝕刻的部份。遮罩單元234包括光阻材料,其設置為可由一或多道微影製程圖案化。方法100之後進行蝕刻製程以移除介電結構232的部份,以及位於源極/汲極結構216上的層間介電層218的一部份。蝕刻製程可為乾蝕刻製程、濕蝕刻製程、反應性離子蝕刻製程、其他合適製程、或上述之組合。在許多實施例中,蝕刻製程為乾蝕刻製程,其採用一或多種蝕刻劑如含氟氣體(如四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、含氯氣體(如氯氣、氯仿、四氯化碳、及/或三氯化硼)、含溴氣體(如溴化氫及/或溴仿)、含碘氣體、含氧氣體(如氧氣)、含氮氣體(如氮氣)、氧、氦氣、氬氣、其他合適氣體、或上述之組合。所述實施例與步驟104類似,乾蝕刻製程採用含氟蝕刻氣體。之後可由任何合適方法如電漿灰化或光阻剝除,自裝置200移除遮罩單元234。
在許多實施例中,由於介電材料230與層間介電層218的材料差異,以深度H2與深度H3定義溝槽242,深度H2由層間介電層218的上表面至第二區206中的溝槽242之下表面(即第二區206中的層間介電層218的上表面),而深度H3係由層間介電層218的上表面至第一區204中的介電結構232的上表面。在所述實施例中,蝕刻介電結構232的速率低於蝕刻層間介電層218的速率,使步驟108對層間介電層218的移除量大於對介電結構232的移除量。換言之,反映層間介電層218的移除量之深度H2,大於反映介電結構232的移除量之深度H3。然而本發明實施例不侷限於此設置。舉例來說,深度H3可改為大於深度H2。不論相對的蝕刻量為何,步驟108未自裝置200完全移除介電結構232,且深度H2小於上述的高度H1,以確保步驟108進行的蝕刻製程不會露出源極/汲極結構216。此外,控制深度H2可確保後續形成的源極/汲極接點的接點電阻維持在所需範圍內。若深度H2過大,則源極/汲極接點的接點電阻過高。在一些例子中,可調整步驟108的蝕刻時間,以調整深度H2與H3。在其他實施例中,溝槽242之開口的寬度236,大於在進行步驟108之後的介電結構232的上表面之寬度238。本發明實施例未侷限寬度236的具體數值,只要能露出介電結構232的至少一部份即可,其可依特定的設計需求而改變。
如圖8所示,方法100的步驟110選擇性地移除介電結構232的保留部份(相對於層間介電層218)以形成溝槽244,其露出源極/汲極結構214。值得注意的是,層間介電層218所定義的溝槽242之下表面,高於源極/汲極結構214與216的上表面。具體而言,下表面246與源極/汲極結構216的上表面隔有層間介電層218,而溝槽244的下表面248由源極/汲極結構214所定義。在所述實施例中,層間介電層218的一部份位於源極/汲極結構214之間。步驟110進行的蝕刻製程可為乾蝕刻製程、濕蝕刻製程、反應性離子蝕刻製程、其他合適製程、或上述之組合。在許多實施例中,乾蝕刻製程採用一或多種蝕刻劑,其不同於步驟104與108所用的蝕刻劑。在所述實施例中,步驟110的蝕刻製程採用含氧蝕刻氣體如氧氣,以選擇性地移除介電結構232中的介電材料230 (相對於層間介電層218)。步驟110的蝕刻製程亦不同於步驟108的蝕刻製程,步驟110的蝕刻製程不採用遮罩單元以用於移除介電結構232。相反地,步驟110的蝕刻製程採用的蝕刻劑設置為對介電結構232的蝕刻速率大於對層間介電層218的蝕刻速率,因此實質上蝕刻介電結構232時可最小化地蝕刻(或不蝕刻)層間介電層218。在一例中,介電結構232相對於層間介電層218的蝕刻選擇性可為至少2。
如圖9至11所示,方法100的步驟112沉積導電材料254於溝槽242與244中。在將導電材料填入溝槽242與244之前如圖9所示,方法100可視情況形成矽化物層250於源極/汲極結構214上。在許多實施例中,矽化物層250包括鎳矽化物、鈷矽化物、鎢矽化物、鉭矽化物、鈦矽化物、鉑矽化物、鉺矽化物、鈀矽化物、其他合適矽化物、或上述之組合。矽化物層250的形成方法可為沉積製程如化學氣相沉積、原子層沉積、物理氣相沉積、其他合適製程、或上述之組合。舉例來說,金屬層如鎳可沉積於源極/汲極結構214上。接著退火裝置200,使金屬層與源極/汲極結構214的半導體材料可反應形成矽化物層250。之後移除未反應的金屬層,以保留矽化物層250於源極/汲極結構214上。
之後如圖9所示,方法100的步驟112可順應性地形成阻障層252於溝槽242及244中,使阻障層252定義溝槽242與244的邊界。在許多實施例中,阻障層252包括金屬氮化物如氮化鈦、氮化鉭、氮化鎢、其他合適的氮化物材料、或上述之組合。阻障層252的形成方法可為沉積製程如化學氣相沉積、原子層沉積、物理氣相沉積、其他合適製程、或上述之組合。在一些實施例中,可依據一些設計需求自裝置200省略阻障層252。如圖10所示,方法100接著沉積導電材料254於溝槽242及244中的阻障層252上。導電材料254可包含任何合適材料如鎢、鈷、釕、銅、鉭、鈦、鋁、鉬、鉬鎢、其他合適導電材料、或上述之組合,且其形成方法可為任何合適方法如化學氣相沉積、原子層沉積、物理氣相沉積、電鍍、其他合適製程、或上述之組合。在一些實施例中,阻障層252可包含至少一材料層。
如圖11所示,方法100的步驟114在平坦化製程時移除層間介電層218的上表面上的多餘導電材料254,以形成源極/汲極接點256。在許多實施例中,源極/汲極接點256電性連接源極/汲極結構214至之後形成的裝置200的額外構件(比如內連線結構如通孔接點、導電線路、或類似物)。源極/汲極接點256包括兩個部份:部份256A具有溝槽242的開口所定義的寬度236,而部份256B位於部份256A下並具有源極/汲極結構214所定義的下表面與寬度238。此外,部份256B由上述高度H1與深度H2之間的差異(分隔距離)所定義。在本發明實施例中,部份256A可描述為導電天橋結構,此外其設置為在X與Y方向中延伸源極/汲極接點256的部份256B,使源極/汲極結構214可電性連接至之後形成於源極/汲極接點256上的內連線結構,並提供額外的金屬線路選擇如下詳述。
為了避免部份256A接觸源極/汲極結構216,需要分開距離H5,其可介於約5nm至約30nm之間。深度H4由源極/汲極接點256的下表面至源極/汲極接點256的上表面,且可介於約10nm至約50nm之間。由於深度H4約為深度H2與分開距離H5的總合,控制深度H4即可維持深度H2與分開距離H5於所需範圍內。在本發明實施例中,控制分開距離H5為至少約5nm,以維持源極/汲極接點256與源極/汲極結構216之間的隔離容許範圍。換言之,若分開距離H5過小(如小於約5nm),裝置200會面臨源極/汲極接點256與源極/汲極結構216之間的短路電路問題。另一方面,若分開距離H5過大,則源極/汲極接點256的接點電阻會過大,且因高深寬比而難以進行製程。若深度H2 (如之前定義)過小,則難以在製程時控制源極/汲極接點256的高度變異。另一方面,若深度H2過大,則源極/汲極接點256的接點電阻過大,且因高深寬比而難以製作。因此一些實施例中的分開距離H5與深度H4的比例介於約0.5至約0.6之間,而深度H2與深度H4的比例介於約0.4至約0.5之間。在一些實施例中,分開距離H5大於深度H2。在一些實施例中,分開距離H5與深度H2大致相同。
圖12A與12B係實施步驟114後的裝置200之佈局的上視圖。為簡化圖式,圖12A與12B中省略源極/汲極結構214與216及高介電常數的閘極介電層與金屬閘極結構210。如圖12A所示,源極/汲極接點256的部份256A (導電天橋結構)自部份256B延伸架橋至第二區206 (無接點結構形成處)。換言之,部份256A的一端物理接觸形成於第一區204中的導電結構(如部份256B),而部份256A的另一端物理接觸位於第二區206中的介電結構(如層間介電層218)。在許多實施例中,部份256A由寬度236所定義,且寬度236設置為長到足以架橋在第二區206上。在一些實施例中,在減少結構尺寸而減少標準單元尺寸,因此減少線路路徑的數目時,部份256A設置為提供額外的線路選擇。以圖12B為例,部份256A提供在源極/汲極結構214 (提供於第一區204中)以及源極/汲極結構226 (在第二區206中沿著X方向與源極/汲極結構216相鄰)之間建立電性連接的線路選擇,而不需額外線路路徑。如此處所述,源極/汲極接點228位於源極/汲極結構226上並與其接觸。值得注意的是,雖然剖視圖中未圖示,但源極/汲極結構214與源極/汲極結構226經由部份256A與金屬層M1耦接(電性及/或物理),且金屬層M1的方向相對於部份256A屬非平行設置。金屬層M1可包含多個內連線結構,比如位於源極/汲極結構216上的通孔292、位於源極/汲極結構226上的通孔296、以及沿著X方向耦接通孔292與296的金屬線路293。在所述實施例中,部份256a與金屬層M1的方向實質上彼此垂直,使源極/汲極結構214可由源極/汲極接點256的部份256A、源極/汲極接點228、與金屬層M1耦接至源極/汲極結構226。換言之,本發明實施例提供線路選擇以耦接位於不同區域中且沿著不同方向的裝置(比如源極/汲極結構214與226)。
接著如圖13A與13B所示,方法100的步驟116對裝置200進行額外製程步驟。如圖13A所示的一例,方法100可形成垂直內連線結構如通孔及/或水平內連線結構如導電線路,且可形成多層內連線結構如金屬層及層間介電層於裝置200上。多種內連線結構可採用多種導電材料如銅、鎢、鈷、鋁、鈦、鉭、鉑、鉬、銀、金、錳、鋯、釕、上述之合金、上述之金屬矽化物、及/或其他合適材料。以圖13A為例,方法100的步驟116可形成通孔292於層間介電層290中並形成金屬線路293於層間介電層291中,其一併稱作金屬層M1如圖12B所示,使源極/汲極接點256耦接通孔292與源極/汲極結構214如上述。在一些實施例中,金屬層M1可包含其他內連線結構,比如搭配圖12B說明的上述通孔296。通孔292與296可由任何合適方法形成,包括一系列的圖案化、沉積、與平坦化製程。
此外,如圖13B所示,方法100的步驟116可回蝕刻源極/汲極接點256的一部份以形成溝槽(未圖示),接著形成介電材料層258於溝槽中以適應額外的製程步驟。可先沉積並平坦化介電材料層258,使其上表面與層間介電層218的上表面實質上共平面。T接著可形成垂直內連線結構(如通孔292)於穿過介電材料層258的源極/汲極接點上。在一些實施例中,相對於前述形成在高介電常數的閘極介電層與金屬閘極結構210上的蓋材料層與閘極間隔物212,介電材料層258設置為具有足夠的蝕刻選擇性(比如大於10)。換言之,形成於源極/汲極接點256上的介電材料層258之組成,不同於前述的蓋材料與閘極間隔物212之組成。在許多實施例中,此蝕刻選擇性在形成額外內連線結構(如通孔292)於源極/汲極接點256上時,可確保圖案化製程時的對不準問題不會損傷與源極/汲極結構214及216相鄰的高介電常數的閘極介電層與金屬閘極結構210,其可能導致高介電常數的閘極介電層與金屬閘極結構210與內連線結構之間的短路。在一些實施例中,介電材料層258設置為適用自對準的接點形成製程。
如圖14所示,本發明更提供方法300以製作裝置200。方法300搭配圖15至21說明,其為裝置200沿著圖2所示的剖線AA’之剖視圖。方法300僅用以舉例而非侷限本發明實施例至申請專利未實際記載處。在方法300之前、之中、與之後可提供額外步驟,且方法300的額外實施例可置換、省略、或調換一些所述步驟。在一些實施例中,方法300與方法100類似但不相同。綜上所述,採用相同標號標示方法100與300的類似結構以簡化說明。
如圖15所示,方法300的步驟302提供裝置200,如搭配圖3說明的上述內容。如圖15所示,方法300的步驟304形成接點蝕刻停止層260於層間介電層218上,接著形成另一層間介電層262於接點蝕刻停止層260上。雖然未圖示,一些實施例在形成層間介電層218及/或層間介電層262之前,可形成接點蝕刻停止層260於源極/汲極結構214與216上(比如直接沉積接點蝕刻停止層260於源極/汲極結構214與216上)。不論形成接點蝕刻停止層260的方法為何,接點蝕刻停止層260的上表面高於源極/汲極結構214與216上,使導電天橋結構(如下詳述)的下表面與搭配圖11至13B說明的部份256A類似。接點蝕刻停止層260可包含氮化矽、氮氧化矽、氧化矽、具有氧及/或碳元素的氮化矽、氮氧化鋁、其他合適材料、或上述之組合,且其形成方法可為化學氣相沉積、物理氣相沉積、原子層沉積、其他合適方法、或上述之組合。層間介電層262可包含四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽如硼磷矽酸鹽玻璃、氟化矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、其他合適的介電材料、或上述之組合。層間介電層262可與層間介電層218類似,且可包含多種介電材料的多層結構,其形成方法可為沉積製程如化學氣相沉積、物理氣相沉積、原子層沉積、可流動的化學氣相沉積、旋轉塗佈玻璃、其他合適方法、或上述之組合。在一些實施例中,層間介電層218與226中包含的介電材料,不同於接點蝕刻停止層260中包含的介電材料。
如圖16所示,方法300的步驟306移除層間介電層262的一部份、接點蝕刻停止層260、與層間介電層218以形成溝槽272,可露出源極/汲極結構214而不露出源極/汲極結構216。在一些實施例中,進行與前述的方法100之步驟104類似的一系列圖案化與蝕刻製程以形成溝槽272。舉例來說,可形成與遮罩單元224及240類似的遮罩單元270於層間介電層262上,以利圖案化與蝕刻製程。在一些實施例中,由於層間介電層262與接點蝕刻停止層260包括不同的介電材料,因此可實施多個蝕刻製程(比如採用不同蝕刻劑)以形成溝槽272。與步驟104的上述內容類似,本發明實施例亦可形成溝槽272於源極/汲極結構216上而非源極/汲極結構214上。換言之,溝槽272形成於兩個相鄰的源極/汲極結構之一(如源極/汲極結構214或216)上。之後可由任何合適方法如電漿灰化或光阻剝除,自裝置200移除遮罩單元270。
如圖17與18所示,方法300的步驟308將介電材料230填入溝槽272,以形成介電結構232的製程,與搭配圖5與6說明的上述步驟106類似。在許多實施例中,介電材料230不同於層間介電層218、層間介電層262、及接點蝕刻停止層260所含的介電材料。
如圖19所示,方法300的步驟310移除介電結構232的一部份,與接點蝕刻停止層260上的層間介電層262的一部份,以形成溝槽278。在許多實施例中,以與搭配圖7說明的上述步驟108類似的一系列圖案化與蝕刻製程實施步驟310。在一例中,與遮罩單元224及240類似的遮罩單元274可形成於層間介電層262上,以露出裝置200即將被蝕刻的部份。蝕刻製程可為乾蝕刻製程、濕蝕刻製程、反應性離子蝕刻製程、其他合適製程、或上述之組合。所述實施例與步驟108類似,其實施的乾蝕刻製程採用含氟蝕刻氣體。由於接點蝕刻停止層260中包含的介電材料不同於層間介電層262與介電結構232的介電材料,步驟310中所用的蝕刻劑對層間介電層262、介電結構232、與接點蝕刻停止層260的蝕刻速率不同。在所述實施例中,層間介電層262的蝕刻速率大於介電結構232與接點蝕刻停止層260的蝕刻速率。事實上,步驟310的蝕刻製程止於接點蝕刻停止層260,因此接點蝕刻停止層260定義溝槽278的下表面之最低部份。換言之,在蝕刻層間介電層262與介電結構232時,不蝕刻(或最小化地蝕刻)接點蝕刻停止層260。之後可由任何合適方法如電漿灰化或光阻剝除,自裝置200移除遮罩單元274。
如圖20至23B所示,方法300的步驟312至318實施一系列的製程(分別與方法100的步驟110至116類似)。以圖20為例,方法300的步驟312移除介電結構232的保留部份以形成溝槽280。方法300之後形成矽化物層250於源極/汲極結構214上。方法300接著順應性地形成阻障層252於溝槽278及280中。之後如圖21所示,方法300的步驟314沉積導電材料254於阻障層252上的溝槽278與280中。如圖22所示,方法300的步驟316移除層間介電層262的上表面上的額外導電材料254,以形成源極/汲極接點256。與圖11至13B類似,源極/汲極接點256包括的部份256A,係設置為連接源極/汲極結構214至額外形成的內連線結構(如圖12B所示的通孔292與296及金屬線路293)之導電天橋結構。
如圖22所示的一些實施例中,分開距離H5與深度H4的比例如搭配圖11定義的上述內容,介於約0.5至約0.6之間。深度H2與深度H4的比例介於約0.4至約0.5之間。在一些實施例中,分開距離H5大於深度H4。在一些實施例中,分開距離H5與深度H4幾乎相同。方法300的步驟318可對裝置200進行額外製程步驟。以圖23A為例,方法300可形成垂直及/或水平的內連線結構,比如含通孔292與296的金屬層M1以及金屬線路293於裝置200上,如搭配13A說明的上述內容。如圖23B所示的其他實施例中,源極/汲極結構214與216可完全埋置於接點蝕刻停止層260中,使部份256A形成於接點蝕刻停止層260與層間介電層218中(而非圖23A所示的形成於層間介電層262、接點蝕刻停止層260、與層間介電層218中)。
本發明的一或多個實施例有利於半導體裝置與其形成方法,但不侷限於此。舉例來說,本發明實施例提供的方法可用於形成源極/汲極接點,其具有導電天橋結構(如導電橫向延伸結構)。在本發明一些實施例中,源極/汲極接點的形成方法可為直接圖案化第一源極/汲極結構上的層間介電層以形成溝槽,而溝槽橫向延伸至與第一源極/汲極結構相鄰的第二源極/汲極結構上。接著沉積導電材料於溝槽中,使源極/汲極接點只電性連接第一源極/汲極結構至後續形成的內連線結構如通孔,而不電性連接第二源極/汲極結構至後續形成的內連線結構如通孔。在許多實施例中,此處提供的方法不圖案化內部節點(如非主動或絕緣節點)於選定的源極/汲極結構上,以降低製程複雜度與形成源極/汲極接點相關的成本。此外,此處提供的方法可形成導電天橋結構而不需額外圖案化與沉積製程,亦減少半導體製作的源極/汲極接點之相關複雜度與成本。
本發明一實施例提供之方法包括:形成層間介電層於第一磊晶的源極/汲極結構及一第二磊晶的源極/汲極結構上,其中第一磊晶的源極/汲極結構與第二磊晶的源極/汲極結構相鄰;形成虛置接點結構於第一磊晶的源極/汲極結構上的層間介電層中;移除第二磊晶的源極/汲極結構上的層間介電層的一部份與虛置接點結構的一部份,以形成第一溝槽;移除虛置接點結構的保留部份,以形成第二溝槽;以及形成金屬源極/汲極接點於第一溝槽與第二溝槽中。
在一些實施例中,移除虛置接點結構的保留部份之步驟,包括相對於層間介電層選擇性地蝕刻虛置接點結構。
在一些實施例中,移除虛置接點結構的保留部份的步驟露出第一磊晶的源極/汲極結構,使金屬源極/汲極接點直接接觸第一磊晶的源極/汲極結構,但不接觸第二磊晶的源極/汲極結構。
在一些實施例中,虛置接點結構包括介電材料,其不同於層間介電層的介電材料。
在一些實施例中,虛置接點結構包括含碳介電材料。
在一些實施例中,方法更包括:使金屬源極/汲極接點的一部份凹陷以形成第三溝槽;以及形成介電材料層於第三溝槽中,其中介電材料層的組不同於層間介電層的組成。
在一些實施例中,方法更包括在形成金屬源極/汲極接點之前,形成矽化物層於第二溝槽中的第一磊晶的源極/汲極結構上。
在一些實施例中,形成金屬源極/汲極接點的步驟包括順應性地形成阻障層於第一溝槽與第二溝槽中。
本發明另一實施例提供之方法包括:蝕刻層間介電層以形成第一溝槽露出第一鰭狀物上的第一源極/汲極結構,其中第一源極/汲極結構與第二鰭狀物上的第二源極/汲極結構相鄰;沉積介電材料於第一溝槽中以形成介電結構;以及將介電結構置換成導電結構,其中導電結構直接接觸第一源極/汲極結構並延伸於第二源極/汲極結構上。在一些實施例中,置換步驟包括:移除第二源極/汲極結構上的層間介電層的一部份與介電結構的一部份,以形成第二溝槽,其中第二溝槽的寬度越過介電結構的寬度並越過第二源極/汲極結構的一部份上;移除介電結構的保留部份以形成第三溝槽露出第一源極/汲極結構;以及形成導電結構於第二溝槽及第三溝槽中。
在一些實施例中,層間介電層為第一層間介電層,而方法更包括在蝕刻第一層間介電層之前,形成蝕刻停止層於第一層間介電層上;以及形成第二層間介電層於蝕刻停止層上。
在一些實施例中,形成第二溝槽的步驟包括移除蝕刻停止層上的第二層間介電層的部份。
在一些實施例中,形成第二溝槽的步驟止於蝕刻停止層。
在一些實施例中,形成導電結構的步驟包括:沉積導電材料於第二溝槽與第三溝槽中;以及平坦化導電材料的上表面,以形成導電結構。
在一些實施例中,方法更包括在沉積導電材料之前,順應性地形成阻障層於第三溝槽中。
在一些實施例中,移除介電結構的部份與層間介電層的部份之步驟,包括形成圖案化光阻層於介電結構的部份與層間介電層的部份上,且其中移除介電結構的保留部份之步驟包括相對於層間介電層選擇性地蝕刻介電結構。
本發明又一實施例提供之半導體結構,包括:第一磊晶的源極/汲極結構;第二磊晶的源極/汲極結構,沿著第一方向與第一磊晶的源極/汲極結構相鄰;第三磊晶的源極/汲極結構,沿著第二方向與第二磊晶的源極/汲極結構相鄰,且第二方向不同於第一方向;層間介電層,位於第一磊晶的源極/汲極結構、第二磊晶的源極/汲極結構、與第三磊晶的源極/汲極結構上;第一源極/汲極接點,位於第一磊晶的源極/汲極結構上並接觸第一磊晶的源極/汲極結構,其中第一源極/汲極接點的一部份橫向延伸於第二磊晶的源極/汲極結構上,並與第二磊晶的源極/汲極結構隔有層間介電層;第二源極/汲極接點,位於第三磊晶的源極/汲極結構上並接觸第三磊晶的源極/汲極結構;以及金屬層,位於第二源極/汲極接點上,其中金屬層設置以耦接第一源極/汲極接點與第二源極/汲極接點。
在一些實施例中,金屬層包括第一通孔位於第二磊晶的源極/汲極結構上、第二通孔位於第三磊晶的源極/汲極結構上、以及金屬線耦接第一通孔至第二通孔,其中第一通孔接觸橫向延伸於第二磊晶的源極/汲極結構上的第一源極/汲極接點的部份,而其中第二通孔接觸第二源極/汲極接點。
在一些實施例中,第一方向實質上垂直於第二方向。
在一些實施例中,半導體結構更包括接點蝕刻停止層位於層間介電層中及第一磊晶的源極/汲極結構與第二磊晶的源極/汲極結構上,其中接點蝕刻停止層定義橫向延伸於第二磊晶的源極/汲極結構上的第一源極/汲極接點的部份之下表面。
在一些實施例中,橫向延伸於第二磊晶的源極/汲極結構上的第一源極/汲極接點的部份具有第一高度,而橫向延伸於第二磊晶的源極/汲極結構上的第一源極/汲極接點的部份與第二磊晶的源極/汲極結構之間的分開距離定義第二高度,且第一高度小於第二高度。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
AA’:剖線 H1:高度 H2、H3、H4:深度 H5:分開距離 M1:金屬層 100、300:方法 102、104、106、108、110、112、114、116、302、304、306、308、310、312、314、316、318:步驟 200:裝置 202:基板 204:第一區 204a、204b、206a、206b:鰭狀物 206:第二區 208:隔離結構 210:高介電常數的閘極介電層與金屬閘極結構 212:閘極間隔物 214、216、226:源極/汲極結構 218、262、290、291:層間介電層 222、242、244、272、278、280:溝槽 224、234、240、270、274:遮罩單元 228、256:源極/汲極接點 230:介電材料 232:介電結構 236、238:寬度 246、248:下表面 250:矽化物層 252:阻障層 254:導電材料 256A、256B:部份 258:介電材料層 260:接點蝕刻停止層 292、296:通孔 293:金屬線路
圖1係本發明多種實施例中,製作半導體裝置的方法之流程圖。 圖2係本發明多種實施例中,半導體裝置的透視圖。 圖3、4、5、6、7、8、9、10、11、13A、與13B係本發明多種實施例中,圖2的半導體裝置在圖1的方法之中間步驟時沿著剖線AA’的剖視圖。 圖12A與12B係本發明多種實施例中,圖2的半導體裝置的上視圖。 圖14係本發明多種實施例中,製作半導體裝置的方法之流程圖。 圖15、16、17、18、19、20、21、22、23A、與23B係本發明多種實施例中,圖2的半導體裝置在圖14的方法之中間步驟時沿著剖線AA’的剖視圖。
M1:金屬層
200:裝置
202:基板
204:第一區
206:第二區
208:隔離結構
214、216:源極/汲極結構
218、290、291:層間介電層
250:矽化物層
252:阻障層
256:源極/汲極接點
256A、256B:部份
258:介電材料層
292:通孔
293:金屬線路

Claims (1)

  1. 一種半導體結構的形成方法,包括: 形成一層間介電層於一第一磊晶的源極/汲極結構及一第二磊晶的源極/汲極結構上,其中該第一磊晶的源極/汲極結構與該第二磊晶的源極/汲極結構相鄰; 形成一虛置接點結構於該第一磊晶的源極/汲極結構上的該層間介電層中; 移除該第二磊晶的源極/汲極結構上的該層間介電層的一部份與該虛置接點結構的一部份,以形成一第一溝槽; 移除該虛置接點結構的保留部份,以形成一第二溝槽;以及 形成一金屬源極/汲極接點於該第一溝槽與該第二溝槽中。
TW108122525A 2018-06-29 2019-06-27 半導體結構的形成方法 TW202015182A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862691800P 2018-06-29 2018-06-29
US62/691,800 2018-06-29
US16/393,543 US11081403B2 (en) 2018-06-29 2019-04-24 Methods of forming contact features in field-effect transistors
US16/393,543 2019-04-24

Publications (1)

Publication Number Publication Date
TW202015182A true TW202015182A (zh) 2020-04-16

Family

ID=69055377

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108122525A TW202015182A (zh) 2018-06-29 2019-06-27 半導體結構的形成方法

Country Status (2)

Country Link
US (3) US11081403B2 (zh)
TW (1) TW202015182A (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11444018B2 (en) 2020-02-27 2022-09-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device including recessed interconnect structure
US11398480B2 (en) * 2020-05-15 2022-07-26 International Business Machines Corporation Transistor having forked nanosheets with wraparound contacts
KR20210151277A (ko) 2020-06-04 2021-12-14 삼성전자주식회사 반도체 소자 및 그의 제조 방법
KR20210152849A (ko) * 2020-06-09 2021-12-16 삼성전자주식회사 집적회로 소자 및 그 제조 방법
KR20220080543A (ko) * 2020-12-07 2022-06-14 삼성전자주식회사 반도체 장치
US20220310398A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Contact Resistance Reduction for Transistors
US20230187441A1 (en) * 2021-12-10 2023-06-15 Intel Corporation Integrated circuit structures with trench contact flyover structure

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9202918B2 (en) * 2013-09-18 2015-12-01 Globalfoundries Inc. Methods of forming stressed layers on FinFET semiconductor devices and the resulting devices
US9515148B2 (en) * 2013-11-11 2016-12-06 International Business Machines Corporation Bridging local semiconductor interconnects
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
CN106611712B (zh) * 2015-10-27 2020-08-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
TWI722073B (zh) * 2016-12-13 2021-03-21 聯華電子股份有限公司 半導體元件及其製作方法
TW201906035A (zh) * 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法

Also Published As

Publication number Publication date
US20200006160A1 (en) 2020-01-02
US11682590B2 (en) 2023-06-20
US11081403B2 (en) 2021-08-03
US20210358817A1 (en) 2021-11-18
US20230326808A1 (en) 2023-10-12

Similar Documents

Publication Publication Date Title
TWI767123B (zh) 整合背側電源網格的半導體裝置及其相關的積體電路與製造方法
TW202015182A (zh) 半導體結構的形成方法
TWI651769B (zh) 半導體結構與其製作方法
TWI719615B (zh) 半導體裝置的形成方法
TWI820215B (zh) 半導體結構與其製作方法
US9331171B2 (en) Manufacturing method for forming semiconductor structure
TWI483348B (zh) 使用雙溝槽製程以製造半導體裝置之側接點之方法
TW201926478A (zh) 半導體結構及其製造方法
TW202025398A (zh) 半導體結構的形成方法
TW201926554A (zh) 形成半導體裝置的方法
US11062945B2 (en) Methods for reducing contact depth variation in semiconductor fabrication
TW202117851A (zh) 半導體結構的形成方法
TW202002025A (zh) 半導體結構的形成方法
TW202029339A (zh) 積體電路結構及其形成方法
WO2014123170A1 (ja) 半導体装置及びその製造方法
TW202205382A (zh) 半導體元件的形成方法
TW202013471A (zh) 形成半導體裝置的方法
KR20170110332A (ko) 반도체 장치 및 그 제조 방법
TWI782497B (zh) 半導體裝置與其製造方法
CN110660741A (zh) 半导体结构的形成方法
KR102623749B1 (ko) 갭충전 구조물 및 그 제조 방법
TWI838669B (zh) 半導體裝置及其形成方法
TWI836944B (zh) 半導體元件結構及其形成方法
TWI840523B (zh) 積體電路裝置與其形成方法
KR100732269B1 (ko) 반도체 소자 및 그의 제조 방법