TW202013471A - 形成半導體裝置的方法 - Google Patents

形成半導體裝置的方法 Download PDF

Info

Publication number
TW202013471A
TW202013471A TW108127843A TW108127843A TW202013471A TW 202013471 A TW202013471 A TW 202013471A TW 108127843 A TW108127843 A TW 108127843A TW 108127843 A TW108127843 A TW 108127843A TW 202013471 A TW202013471 A TW 202013471A
Authority
TW
Taiwan
Prior art keywords
source
drain
contact
dummy
trench
Prior art date
Application number
TW108127843A
Other languages
English (en)
Inventor
王聖璁
張家豪
林佑明
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202013471A publication Critical patent/TW202013471A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

一種形成半導體裝置的方法,此方法包括在第一磊晶源極/汲極部件上形成第一虛設源極/汲極接觸物於層間介電層中,以及在第二磊晶源極/汲極部件上形成第二虛設源極/汲極接觸物於層間介電層中。此方法還包括將第一虛設源極/汲極接觸物的一部分、第二虛設源極/汲極接觸物的一部分以及設置於第一虛設源極/汲極接觸物和第二虛設源極/汲極接觸物之間的層間介電層的一部分移除,以形成第一溝槽。此方法還包括將第一虛設源極/汲極接觸物的留下部分移除以形成第二溝槽,並且在第一溝槽和第二溝槽中形成金屬源極/汲極接觸物。此方法中,第一虛設源極/汲極接觸物和第二虛設源極/汲極接觸物包含與層間介電層之介電材料不同的介電材料。

Description

形成半導體裝置的方法
本發明實施例內容是有關於一種形成半導體裝置的方法,特別是有關於一種形成半導體裝置之源極/汲極接觸物的方法。
半導體積體電路(integrated circuit,IC)產業已經歷了快速的成長。積體電路(IC)的材料與設計的技術發展已經創造了積體電路的多個世代,且各個世代具有相較於前一世代更小且更複雜的電路。在積體電路演進的歷程中,功能密度(例如單位晶片面積的互連裝置數量)已普遍地增加,同時伴隨幾何尺寸的縮小。這樣的尺寸縮減的過程普遍地為提升生產效率與降低相關成本帶來了益處。這樣的尺寸縮減也增加了積體電路的加工和製造的複雜性。
舉例而言,當部件尺寸持續地縮減,製造各種裝置等級的接觸物(device-level contacts)變得更具挑戰性。在較小長度的尺寸下,裝置等級的接觸物的形成需可適應和/或擴大製程窗口,以適用於後續製造步驟(例如,形成導通孔的圖案化步驟)。雖然目前形成裝置等級的接觸物的方法上普遍來說是適當的,但它們並未完全地滿足所有方面的需求。
本發明的一些實施例提供一種形成半導體裝置的方法。此方法包括在第一磊晶源極/汲極部件上形成第一虛設源極/汲極接觸物,以及在第二磊晶源極/汲極部件上形成第二虛設源極/汲極接觸物。一些實施例中,第一虛設源極/汲極接觸物和第二虛設源極/汲極接觸物形成於層間介電層中。此方法亦包括移除第一虛設源極/汲極接觸物的一部分、第二虛設源極/汲極接觸物的一部分以及設置於第一虛設源極/汲極接觸物和第二虛設源極/汲極接觸物之間的層間介電層的一部分,而形成第一溝槽。此方法還包括移除第一虛設源極/汲極接觸物的留下部分而形成第二溝槽。此方法更包括在第一溝槽和第二溝槽中形成一金屬源極/汲極接觸物。在一些實施例中,第一虛設源極/汲極接觸物和第二虛設源極/汲極接觸物包含與層間介電層之介電材料不同的介電材料。
本發明的一些實施例提供又一種形成半導體裝置的方法。此方法包括對層間介電層進行蝕刻,以形成暴露出第一源極/汲極部件的第一溝槽和暴露出第二源極/汲極部件的第二溝槽。此方法亦包括在第一溝槽和第二溝槽中沉積介電材料,以分別形成第一介電部件和第二介電部件。此方法更包括以源極/汲極接觸物置換第一介電部件和第二介電部件,其中源極/汲極接觸物接觸第一源極/汲極部件和第二源極/汲極部件。在一些實施例中,置換第一介電部件和第二介電部件的步驟包括:對部分的第一介電部件和第二介電部件以及設置於第一介電部件和第二介電部件之間的層間介電層的一部分進行蝕刻,以形成具有從第一介電部件跨越到第二介電部件的寬度的第三溝槽;對第一介電部件和第二介電部件的留下部分進行蝕刻,以形成暴露出第一源極/汲極部件和第二源極/汲極部件的第四溝槽;以及在第三溝槽和第四溝槽中沉積一導電材料。
本發明的一些實施例提供一種半導體裝置,包括第一磊晶源極/汲極部件、鄰近第一磊晶源極/汲極部件設置的第二磊晶源極/汲極部件、設置在第一磊晶源極/汲極部件和第二磊晶源極/汲極部件上方的層間介電層、設置在第二磊晶源極/汲極部件的上方並接觸第二磊晶源極/汲極部件的介電部件、以及設置在第一磊晶源極/汲極部件的上方並接觸第一磊晶源極/汲極部件的源極/汲極接觸物,其中一部分的源極/汲極接觸物延伸經過層間介電層以與介電部件接觸。
以下內容提供了很多不同的實施例或範例,用於實現本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及一第一部件形成於一第二部件之上或位於其上,可能包含第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。
另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。並且,本發明實施例中,一個部件形成於另一部件上、連接至另一部件、及/或耦接至另一部件,可能包含形成這些部件直接接觸的實施例,也可能包含額外的部件可能形成於這些部件之間,使得這些部件不直接接觸的實施例。此外,此處可能使用空間上的相關用語,例如「下方的」、「上方的」、「水平的」、「垂直的」、「在…上方」、「在…之上」、「在…下方」、「在…之下」、「朝上的」、「朝下的」、「頂部」、「底部」和其他類似的衍生用語(例如,「水平地」、「向下地」、「向上地」等)可用於此,以便描述本發明實施例之一個部件與其他部件之間的關係。此些空間上的相關用語用於涵蓋包含此些部件的裝置的不同方位。再者,當使用「約」、「大約」或類似的用語來描述一個數字或一個數值範圍時,除非有另外指明,則此用語是用於表示包含此數值及與此數值相差±10%的數值範圍。舉例而言,「約5奈米」的用語包含了4.5奈米至5.5奈米的尺寸範圍。
本發明關於半導體裝置,特別是場效電晶體(field effect transistor,FET),例如平面式場效電晶體或三維鰭式場效電晶體(FinFETs)。本發明的一個目的是提供在場效電晶體中形成裝置等級接觸物(device-level contacts)的方法。
在場效電晶體的製程中,源極/汲極(S/D)接觸物的形成通常是使裝置等級的源極/汲極部件與例如導通孔的互連部件達成連接。當在源極/汲極部件上形成源極/汲極接觸物時,可能需要形成跨越相鄰的源極/汲極接觸物的源極/汲極接觸物延伸體(S/D contact extension,MDX),以適應隨後的製程。在這樣的示例中,電性連接兩個相鄰源極/汲極接觸物的源極/汲極接觸物延伸體可以擴大後續圖案化處理以形成導通孔接觸物(via contacts)的製程窗口。然而,源極/汲極接觸物延伸體通常是在形成源極/汲極接觸物之後以一個單獨製程形成,因此必須實施超過一組包含圖案化、金屬化(導電材料的沉積)和平坦化的製程來形成源極/汲極接觸物以及設置在源極/汲極接觸物上方的源極/汲極接觸物延伸體。源極/汲極接觸物延伸體與源極/汲極接觸物分開形成不僅增加了製程的複雜度和成本,還引入了可能限制裝置性能的一額外的阻障層界面。另外,在源極/汲極接觸物上形成源極/汲極接觸物延伸體會增加源極/汲極接觸物的總高度,而可能增加裝置的接觸電阻。至少由於這些原因,需改進形成源極/汲極接觸物延伸體和源極/汲極接觸物的方法,以製造出具有增強特性的場效電晶體。
第1圖和第13圖分別顯示根據本發明的各方面形成半導體裝置200的方法100和方法300。方法100和方法300僅僅是示例,並非對超出本發明權利要求中明確記載的內容加以限制。可以在方法100和方法300之前、期間和之後提出額外步驟,並且可以替換、消除或移動所描述的一些步驟以適用於本發明方法的其他實施例。以下結合第2圖和第3-12B圖描述方法100,其中第2圖和第3-12B圖繪示出了在方法100的中間階段期間半導體裝置200的一部分。以下結合第2圖和第14-23B圖描述方法300,其中第2圖和第14-23B圖繪示出了在方法300的中間階段期間半導體裝置200的一部分。第3-12B圖和第14-23B圖為沿著第2圖中通過鰭片204a、204b、206a和 206b的源極/汲極區的虛線AA’所繪製的半導體裝置200的剖面示意圖。半導體裝置200可以是在IC的製程期間所製造的中間裝置或是中間裝置的一部分,其可以包括靜態隨機存取記憶體(SRAM)和/或其他邏輯電路、例如電阻器、電容器和電感器等被動元件、以及例如p型場效電晶體(PFETs)、n型場效電晶體(NFETs)、鰭式場效電晶體、金屬氧化物半導體場效電晶體(MOSFET)、互補金屬氧化物半導體(CMOS)電晶體、雙極電晶體、高壓電晶體、高頻電晶體和/或其他記憶體單元等主動元件。本發明不限於任何特定數量的裝置或裝置區域,或任何特定裝置的配置。例如,即使所繪示的半導體裝置200是三維鰭式場效電晶體裝置,但是本發明也可以提供用來製造平面式場效電晶體裝置的實施例。
參照第1-3圖,在步驟102中,方法100提出包括具有第一區域204和第二區域206的基底202的半導體裝置200,其中第一區域204包括兩個三維主動區域(以下稱為鰭片)204a和204b,第二區域206包括兩個鰭片206a和206b。半導體裝置200還包括設置在第一區域204和第二區域206上方的高介電常數金屬閘極(HKMG)結構210、形成在高介電常數金屬閘極結構210的側壁上的閘極間隔物212、分別設置在鰭片204a(和204b)和206a(和206b)上方的源極/汲極部件214和216、設置在基底202上以分隔半導體裝置200之各個組件的隔離結構208、以及設置在隔離結構208和源極/汲極部件214、216上方的層間介電層(ILD)218。雖然在實施例中,第一區域204和第二區域206中各包括兩個鰭片,但是本發明並不限於這種配置。例如,第一區域204和第二區域206中各可包括一個鰭片或超過兩個鰭片。另外,源極/汲極部件214和216可以合併在一起或者如圖所示是分開的。
一些實施例中,基底202可以包括元素(單元素)半導體,例如矽、鍺和/或其他適合的材料。基底202還可以包括化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦和/或其他適合的材料。基底202還可以包括合金半導體,例如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP和/或其他適合的材料。基底202可以是具有均勻組成的單層材料層。或者,基底202可以包括具有適合於IC裝置製造的相似或不同組成的多個材料層。在一個示例中,基底202可以是絕緣層上覆半導體(SOI)基底,其具有在氧化矽層上形成的矽層。在另一個示例中,基底202可以包括導電層、半導體層、介電層、其他層或前述之組合。
一些實施例中,基底202包括場效電晶體、設置在基底202中或上方的各種摻雜區域例如源極/汲極區域。根據設計要求,摻雜區可以摻雜n型摻雜物例如磷或砷,和/或摻雜p型摻雜物例如硼。一些實施例中,可以直接在基底202上、在p型井結構中、在n型井結構中、在雙井結構中、或者使用抬升結構(raised structure)形成摻雜區。一些實施例中,可以通過摻雜原子的佈植、原位摻雜磊晶生長和/或其他適合的技術來形成摻雜區。
仍參照第2-3圖,第一區域204可適合用來提供n型鰭式場效電晶體,第二區域206可適合用來提供p型鰭式場效電晶體。在其他實施例中,第一區域204和第二區域206可適合用來提供相似類型的鰭式場效電晶體,亦即,第一區域204和第二區域206兩者都是提供n型或是提供p型的鰭式場效電晶體。然而此配置僅用於示例說明之用,而非用於限制本發明之用。可以使用包括微影和蝕刻製程等合適的製程來製造鰭片204a、204b以及鰭片206a、206b。一些實施例中,微影製程可包括在基底202上形成並覆蓋一光阻層(抗蝕層)、對抗蝕層進行曝光以形成圖案、進行曝光後烘烤製程以及對阻劑進行顯影以形成包含阻劑的一遮罩元件(未顯示於圖中)。然後使用遮罩元件在基底202中蝕刻出凹槽,而在基底202上留下鰭片204a、204b以及鰭片206a、206b。蝕刻製程可包括乾式蝕刻、濕式蝕刻、反應性離子蝕刻(RIE)和/或其他適合的製程。
有許多其他實施例的方法可適合用於形成鰭片204a和204b以及鰭片206a和206b。例如,可以使用雙圖案化或多圖案化製程對鰭片204a和204b以及鰭片206a和206b進行圖案化步驟。通常,雙圖案化或多圖案化製程結合了微影和自對準製程,所製造出之圖案的間距比起例如使用單個直接微影製程可獲得之圖案的間距還要更小。例如,在一些實施例中,在基底上形成犧牲層並使用微影製程進行圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。之後去除犧牲層,然後可以使用留下的間隔物或心軸(mandrels)來對鰭片進行圖案化。
隔離結構208可包括氧化矽、氮化矽、氮氧化矽、氟化物摻雜的矽酸鹽玻璃(FSG)、低介電常數介電材料和/或其他合適的材料。一些實施例中,隔離結構208可以包括淺溝槽隔離(STI)部件。在一個實施例中,可通過在形成鰭片204a和204b以及鰭片206a和206b期間蝕刻基底202中的溝槽,之後以沉積製程於溝槽中填充如上述之隔離材料,然後進行化學機械平坦化(CMP)製程,以形成隔離結構208。其他隔離結構,例如場氧化物、矽的局部氧化(LOCOS)和/或其他合適結構也可以作為隔離結構208。另外,隔離結構208也可以包括多層結構,例如包括一層或多層熱氧化襯層(thermal oxide liner layers)。可利用適當方法進行隔離結構208的沉積,例如化學氣相沉積(chemical vapor deposition,CVD)、可流動化學氣相沉積(flowable chemical vapor deposition,FCVD)、旋塗玻璃(spin-on glass,SOG)、其他適當方法、或前述方法之組合。
仍參照第2圖,半導體裝置200包括分別設置在鰭片204a和204b以及鰭片206a和206b上方的源極/汲極部件214和216,源極/汲極部件214和216分別鄰近高介電常數金屬閘極結構210。可以由任何合適的製程形成源極/汲極部件214和216,例如蝕刻製程之後可進行一個或多個磊晶製程。在一個示例中,進行一個或多個蝕刻製程以分別去除鰭片204a、204b以及鰭片206a、206b的一部分,以在其中形成凹槽(未顯示於圖中)。一些實施例中,可利用氫氟酸(HF)溶液或其他適合溶液清潔凹槽,以進行清潔製程。隨後,進行一個或多個磊晶生長製程以在凹槽中生長磊晶部件。源極/汲極部件214和216分別可適用於p型鰭式場效電晶體裝置(例如具有p型磊晶材料),或者適用於n型FinFET裝置(例如具有n型磊晶材料)。p型磊晶材料可以包括一個或多個矽鍺磊晶層,其中矽鍺摻雜有p型摻雜物,例如硼、鍺、銦和/或其他p型摻雜物。n型磊晶材料可以包括一個或多個矽或碳化矽的磊晶層,其中矽或碳化矽摻雜有n型摻雜物,例如砷、磷和/或其他n型摻雜物。在至少一個實施例中,源極/汲極部件214包括p型磊晶材料,而源極/汲極部件216包括n型磊晶材料;然而,本發明不限於此。
半導體裝置200更包括設置在鰭片204a、204b、206a和206b之一部分上的高介電常數金屬閘極結構210,使其置入源極/汲極部件214和216之間。高介電常數金屬閘極結構210包括設置於鰭片204a、204b和鰭片206a和206b上方的高介電常數介電層(亦即,具有大於氧化矽介電常數的介電層;圖中未顯示)以及設置於高介電常數介電層上的金屬閘極電極(圖中未顯示)。金屬閘極電極可更包括至少一個功函數金屬層(work function metal layer)和設置於功函數金屬層上的塊狀導電層(bulk conductive layer)。功函數金屬層可以是p型或n型功函數金屬層。示例性的功函數金屬材料包括TiN、TaN、Ru、Mo、Al、WN、ZrSi2 、MoSi2 、TaSi2 , NiSi2 、Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN, Mn、Zr、其他合適的n型功函數材料或前述材料的組合。塊狀導電層可包括銅、鎢、鋁、鈷、其他合適的材料或前述材料的組合。一些實施例中,高介電常數金屬閘極結構210還可以包括其他許多層(圖中未顯示),例如設置在鰭片204a和204b與鰭片206a和206b以及高介電常數介電層之間的界面層、硬質遮罩層、覆蓋層、阻障層、其他合適的材料層或前述層的組合。可以通過任何合適的方法,例如化學氧化、熱氧化,原子層沉積(ALD)、化學氣相沉積、物理氣相沉積(PVD)、電鍍、其他適合的方法或前述的組合,以沉積高介電常數金屬閘極結構210的各個材料層。一些實施例中,可進行研磨製程,例如化學機械研磨,以去除高介電常數金屬閘極結構210之頂表面上方多餘的材料,平坦化半導體裝置200的頂表面。
半導體裝置200更包括設置在高介電常數金屬閘極結構210之側壁上的閘極間隔物(gate spacers)212。閘極間隔物212可包括介電材料,例如氧化矽、氮化矽、碳化矽、氮氧化矽、其他合適的介電材料或前述的組合。閘極間隔物212可以是單層結構或多層結構。在一些實施例中,首先可以通過在半導體裝置200上沉積間隔物材料之覆蓋層,然後進行非等向性蝕刻製程以去除部分的間隔物材料以在高介電常數金屬閘極結構210的側壁上形成閘極間隔物212。在一些實施例中,半導體裝置200包括設置在金屬閘極電極和閘極間隔物212上方的盔狀材料層(未顯示於圖中),以保護高介電常數金屬閘極結構210在隨後的製造過程中不會被無意地損壞。盔狀材料層可包括任何合適的介電材料,例如碳氮化矽、氧化鋁、氮氧化鋁、氧化鋯、氮化鋯、氮化矽、氧化鋯鋁、和其他合適的材料或前述的組合。
在許多實施例中,是在製作半導體裝置200的其他組件(例如,源極/汲極部件214和216)之後才形成高介電常數金屬閘極結構210。此種製程通常被稱為閘極替換製程(gate replacement process),其包括形成虛設閘極結構(未顯示於圖中)以預留之後形成的高介電常數金屬閘極結構210的位置、形成源極/汲極部件214和216、在虛設閘極結構和源極/汲極部件214和216上方形成層間介電層218(並且選擇性地形成接觸蝕刻停止層或稱為CESL)、通過例如CMP以對層間介電層218進行平坦化步驟以暴露出虛設閘極結構的頂表面、去除在層間介電層218中的虛設閘極結構而形成暴露出鰭片204a、204b和鰭片206a、206b的通道區域(channel region)的溝槽(trench),以及在溝槽中形成高介電常數金屬閘極結構210,而完成閘極替換製程。在一些實施例中,層間介電層218包括介電材料,例如四乙氧基矽烷(TEOS)、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽例如硼磷矽酸鹽玻璃(BPSG)、熔融石英玻璃(FSG)、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、其他合適的介電材料或前述的組合。在所敘述的實施例中,層間介電層218包括含氧的介電材料。層間介電層218可以包括具有多種介電材料的多層結構,並且可以通過沉積製程形成,例如化學氣相沉積、可流動化學氣相沉積、旋塗玻璃、其他合適的方法或前述的組合。接觸蝕刻停止層可以包括氮化矽、氮氧化矽、具有氧或碳元素的氮化矽、其他合適的材料或前述的組合,並且可以通過CVD、PVD、ALD、其他合適的方法或前述的組合而形成。
在方法100的步驟104中,一併參照第4圖和第5圖,分別在源極/汲極部件214和216上形成虛設接觸部件例如虛設源極/汲極接觸物(dummy S/D contact)232和虛設源極/汲極接觸物234。具體而言,參照第4圖,方法100包括通過進行一系列的圖案化和蝕刻製程,以分別在源極/汲極部件214和216上方的層間介電層218中形成溝槽222和溝槽224。在示例的實施例中,一系列的圖案化和蝕刻製程包括形成包含阻劑的抗蝕層(例如,光阻)的遮罩元件(未顯示於圖中),層間介電層218上的抗蝕層通過微影製程而被圖案化以暴露出層間介電層218待蝕刻的部分,隨後以乾式蝕刻、濕式蝕刻、反應性離子蝕刻(RIE)、其他合適的蝕刻製程或前述的製程組合對層間介電層218進行蝕刻,以分別暴露出溝槽222和224中的源極/汲極部件214和216。在一些實施例中,蝕刻製程是乾式蝕刻製程,其採用一種或多種蝕刻劑,例如含氟氣體(例如,CF4 、SF6 、CH2 F2 、CHF3 和/或C2 F6 )、含氯氣體(例如,Cl2 、CHCl3 、CCl4 和/或BCl3 )、含溴氣體(例如HBr和/或CHBR3 )、含碘氣體、含氧氣體(例如O2 )、含氮氣體(例如,N2 )、氧氣、氦氣、氬氣、其他合適的氣體或前述的組合。在此實施例中,乾式蝕刻製程採用可以去除遮罩元件暴露的層間介電層218之部分的一種或多種含氟蝕刻氣體。在進行蝕刻製程之後,可利用各種適合的方法,例如電漿灰化(plasma ashing)或抗蝕層剝離(resist stripping),以去除遮罩元件。
參照第5圖,之後,方法100包括以介電材料230填充溝槽222和224,層間介電層218的頂表面上方也沉積有一部分的介電材料230。介電材料230可包括任何合適的介電材料,例如含碳材料(例如,旋塗碳(SOC)、非晶碳等)、含氧材料(例如,氧化矽、旋塗玻璃(SOG)、金屬氧化物等)、含氮材料(例如,氮化矽、金屬氮化物等)、其他合適的介電材料或前述的組合。在許多實施例中,介電材料230與層間介電層218所包含的介電材料不同,使得介電材料230和層間介電層218在蝕刻製程時能以相對於彼此不同的速率被蝕刻。參照第6圖,方法100包括對半導體裝置200的頂表面進行平坦化,並通過例如CMP的合適製程去除設置在層間介電層218之頂表面上的多餘的介電材料230,而在源極/汲極部件214和216的上方分別形成虛設源極/汲極接觸物232和234。
請參照第7圖。在方法100的步驟106中移除部分的虛設源極/汲極接觸物232和234,以及移除設置在虛設源極/汲極接觸物232和234之間的層間介電層218的一部分,以形成溝槽242。在許多實施例中,可由類似於步驟104以及第4圖所述之一系列的圖案化和蝕刻製程來進行步驟106。在示例性的實施例中,可以在層間介電層218和虛設源極/汲極接觸物232和234的上方形成遮罩元件240,以暴露出待蝕刻之半導體裝置200的部分。遮罩元件240包括阻劑(例如,光阻)材料,通過一個或多個微影製程而被圖案化。之後,方法100包括進行蝕刻製程以去除部分的虛設源極/汲極接觸物232和234,以及去除由遮罩元件240暴露出的設置在虛設源極/汲極接觸物232和234之間的層間介電層218的部分。蝕刻製程可以是乾式蝕刻製程、濕式蝕刻製程、RIE製程、其他適合的製程或前述製程的組合。在許多實施例中,蝕刻製程是乾式蝕刻製程。在所敘述的實施例中,類似於步驟104,步驟106的乾式蝕刻製程採用一種或多種含氟氣體(例如,CF4 、SF6 、CH2 F2 、CHF3 和/或C2 F6 )來去除源極/汲極接觸物232和234的一部分以及去除設置在它們之間的層間介電層218的一部分。在許多實施例中,比起層間介電層218,虛設源極/汲極接觸物232和234所包含的介電材料230是以較低的蝕刻速率被蝕刻,使得層間介電層218比起虛設源極/汲極接觸物232和234有更大量的材料被蝕刻去除。然而,本發明並不限於此,可以移除例如比層間介電層218更大量的虛設源極/汲極接觸物232和234。無論它們的相對蝕刻量如何,在蝕刻製程之後,設置在虛設源極/汲極接觸物232和234之間的層間介電層218至少有一部分的層間介電層218會保留在半導體裝置200中,亦即,由層間介電層218所界定的溝槽242之底表面243是高於源極/汲極部件214和216的頂表面。換句話說,在步驟106中選擇用於進行蝕刻製程的蝕刻劑是用來去除介電材料230和層間介電層218兩者的蝕刻劑。
仍參照第7圖。溝槽242的開口至少是從虛設源極/汲極接觸物232的外側壁到虛設源極/汲極接觸物234的內側壁所量測的分離距離(separation distance)238。在一些實施例中,溝槽242的開口之寬度是指從虛設源極/汲極接觸物232的外側壁跨越到虛設源極/汲極接觸物234的外側壁的寬度236,使得溝槽242的側壁可由層間介電層218所界定。換句話說,溝槽242的開口並不限於特定寬度,只要可以暴露出虛設源極/汲極接觸物232的一部分和/或虛設源極/汲極接觸物234的一部分即可,可根據設計需求而變化。藉由調整在圖案化製程期間於遮罩元件240中所形成的開口,而可調整溝槽242的寬度。
參照第8圖,在方法100的步驟108中包括選擇性地移除相對於層間介電層218的虛設源極/汲極接觸物232和234的剩餘部分,以分別形成暴露出源極/汲極部件214和216的溝槽244和溝槽246。在許多實施例中,溝槽242沿X方向連接溝槽244和246。值得注意的是,溝槽242的底表面243位於源極/汲極部件214和216之頂表面的上方但位於層間介電層218之頂表面的下方。換句話說,溝槽242之底表面243位於溝槽244和246之底表面的上方。在步驟108所進行的蝕刻製程可以是乾式蝕刻製程、濕式蝕刻製程、RIE製程、其他合適的製程或前述製程的組合。在許多實施例中,是以乾式蝕刻製程進行蝕刻製程,其採用與步驟104和106用的蝕刻劑不同的一種或多種蝕刻劑。在示例性的實施例中,步驟108處的乾式蝕刻製程採用含氧的蝕刻劑,例如O2 ,以相對於層間介電層218選擇性地去除虛設源極/汲極接觸物232和234中的介電材料230。與步驟106中的蝕刻製程不同,步驟108中的蝕刻製程可以不採用遮罩元件來移除虛設源極/汲極接觸物232和234。相反地,步驟108中的蝕刻製程是使用蝕刻劑來實現,此蝕刻劑對於層間介電層218的介電材料可以有更快的蝕刻速率來蝕刻虛設源極/汲極接觸物232和234(即,介電材料230),如此可使虛設源極/汲極接觸物232和234被蝕刻,而層間介電層218則未被蝕刻或最小程度地被蝕刻。在一示例中,虛設源極/汲極接觸物232相對於層間介電層218的蝕刻選擇比可以至少是2。
一併參照第9-11B圖,方法100的步驟110中,在溝槽242、244和246中形成源極/汲極接觸物256。在許多實施例中,源極/汲極接觸物256將源極/汲極部件214和216電性連接到之後形成的半導體裝置200之其他組件,例如互連部件(例如,導通孔)。
參照第9圖,在形成源極/汲極接觸物256之前,方法100的步驟110中可先在源極/汲極部件214和216上方形成金屬矽化物層250。在許多實施例中,金屬矽化物層250包括矽化鎳、矽化鈷、矽化鎢、矽化鉭、矽化鈦、鉑矽化物、矽化鉺、矽化鈀、其他合適的矽化物或前述的組合。金屬矽化物層250可以通過沉積製程例如CVD、ALD、PVD、其他合適的製程或前述製程的組合而形成。例如,可以在源極/汲極部件214和216上沉積金屬層(例如,鎳)。然後,對半導體裝置200進行退火以使金屬層和源極/汲極部件214和216的半導體材料進行反應。此後,去除未反應的金屬層,在源極/汲極部件214和216上留下金屬矽化物層250。
之後,仍參照第9圖,方法100的步驟110中可在溝槽242、244和246中,並且在金屬矽化物層250(如果有形成)的上方順應性地形成阻障層252。在許多實施例中,阻障層252包括金屬例如Ti、Ta、其他合適的金屬或前述金屬的組合、和/或金屬氮化物例如TiN、TaN、其他合適的氮化物材料或前述的組合。阻障層252可以通過沉積製程而形成,沉積製程例如CVD、ALD、PVD、其他合適的製程或前述製程的組合。在一些實施例中,阻障層252可包括至少一個材料層。
參照第10圖,方法100包括將導電材料254沉積在溝槽242、244和246中。導電材料254可包括任何合適的材料,例如W、Co、Ru、Cu、Ti、Al、Ni、Au、Pt、Pd、其他合適的導電材料或前述的組合,並且可以利用任何合適的方法沉積導電材料,例如CVD、ALD、PVD、電鍍、其他合適的製程或前述製程的組合。
之後,參照第11A圖,方法100包括可利用平坦化製程(例如,CMP製程)去除形成在層間介電層218之頂表面上的多餘的導電材料254,以形成源極/汲極接觸物(S/D contacts)256。源極/汲極接觸物256可以包括三個部分:設置在源極/汲極部件214上並具有以源極/汲極部件214界定之底表面的接觸部256A,設置在源極/汲極部件216上並具有以源極/汲極部件216界定之底表面的接觸部256B,以及具有由層間介電層218界定之底表面的接觸部256C。如第11A圖所示,接觸部256A和接觸部256B經由接觸部256C而連接,其中接觸部256C的底表面高於接觸部256A和256B的底表面。在所示實施例中,接觸部256C構成在接觸部256A和256B之間的源極/汲極接觸物延伸體(MDX)的一部分,而接觸部256A和256B分別被配置為源極/汲極部件214和216的源極/汲極接觸物。
第11B圖繪示了與第11A圖的實施例類似的實施例,除了源極/汲極接觸物256跨越接觸源極/汲極部件214、216和292,其中源極/汲極部件292設置在第三區域290中的鰭片290a和鰭片290b之間。在實施例中,第三區域290沿X方向與第二區域206相鄰設置。與第11A圖中所描述的源極/汲極接觸物256之三個部分類似,第11B圖所繪示之實施例可以包括接觸部256A-256C以及接觸部256D和接觸部256E,其中接觸部256E設置在源極/汲極部件292(和/或設置在源極/汲極部件292上所形成的金屬矽化物層250)的上方,且接觸部256D連接接觸部256B與接觸部256E。
通常,在以一系列的圖案化、沉積(例如,金屬化)和平坦化(例如,CMP)製程而形成源極/汲極接觸物之後,源極/汲極接觸物延伸體可視為相鄰設置的源極/汲極接觸物上的一額外的導電層,源極/汲極接觸物與下方的源極/汲極部件接觸。換句話說,源極/汲極接觸物延伸體在側橫向方向上(例如,沿著X方向)結構性地連接相鄰的源極/汲極接觸物,並且在垂直方向(例如,沿著Z方向)上延伸相鄰的源極/汲極接觸物,使得源極/汲極接觸物的有效高度可垂直地增加。由於源極/汲極接觸物和源極/汲極接觸物延伸體的形成之前通常先形成一個或多個阻障層(例如,阻障層252),前述阻障層的導電性通常比形成源極/汲極接觸物和源極/汲極接觸物延伸體的材料的導電性要來得差,此種以源極/汲極接觸物延伸體造成的垂直延伸還在源極/汲極接觸物和源極/汲極接觸物延伸體之間增加了一個額外的阻障層界面。在許多情況下,增加源極/汲極接觸物的高度和引入額外的阻障層界面可能會降低裝置性能,例如,增加源極/汲極接觸物的接觸電阻(contact resistance)。另外,在形成源極/汲極接觸物之後形成源極/汲極接觸物延伸體可能需要額外的金屬化製程,這將不可避免地會增加製程複雜度和成本。本發明的實施例通過形成具有內嵌延伸部件(例如,接觸部256C)的源極/汲極接觸物(例如,源極/汲極接觸物256)來減輕對裝置性能造成的影響並且降低製程複雜度,此內嵌延伸部件可與設置在源極/汲極部件(例如,源極/汲極部件214和216)上方的源極/汲極接觸物(例如,接觸部256A和256B)一起形成。在一些實施例中,通過同時沉積導電材料而可一起形成內嵌延伸部件和源極/汲極接觸物,相關內容將在以下詳細討論。
參照第12A圖,方法100的步驟112中包括對半導體裝置200進行額外的製程步驟。例如,可以形成垂直的互連部件,例如設置在源極/汲極接觸物256和層間介電層218上方的層間介電層258中的導通孔260。導通孔260可以包括與上述導電材料254類似的導電材料,且層間介電層258可以包括與上述層間介電層218類似的介電材料。可以先在源極/汲極接觸物256和層間介電層218上方沉積層間介電層258,然後進行一系列相似於步驟104中所敘述的圖案化和蝕刻製程以在層間介電層258中形成溝槽(未顯示於圖中),並在溝槽中沉積與導電材料254類似的導電材料,而形成導通孔260。再者,如第12A圖所示,方法100的步驟112可包括形成額外的互連部件,例如設置在層間介電層258上方的層間介電層262中的導線264。導線264可以與導通孔260在一系列的圖案化和蝕刻製程中一起形成(例如,雙鑲嵌製程),或者可以在形成導通孔260之後形成。
除了上面討論的優點,若分別設置在源極/汲極部件214和216上方的接觸部256A和256B未通過接觸部256C或源極/汲極接觸物延伸體進行連接,則導通孔260的製程窗口(例如,製程期間可容許誤差的範圍)會被限制在寬度W1或寬度W2,寬度W1和寬度W2各定義了源極/汲極接觸物的開口。然而,隨著源極/汲極接觸物延伸體的形成,用來形成導通孔260的製程窗口可以被擴大到寬度236,寬度236大於寬度W1和寬度W2,使得導通孔260和/或導線264的圖案化製程可以對於任何可能的未對準情況能允許有更大的誤差範圍,而不影響互連結構和設置在互連結構下方的場效電晶體之間的電性連接。
參照第12B圖,在形成導通孔260之前,方法100的步驟112中可包括回蝕一部分的源極/汲極接觸物256(包括接觸部256A、256B和/或256C)和一部分的阻障層252以形成溝槽(未顯示於圖中),並在溝槽中沉積介電材料層266以進行額外的製程步驟。可以在沉積製程之後對介電材料層266進行平坦化。然後通過類似於上面討論的製程,對層間介電層258和介電材料層266進行圖案化以形成導通孔260。在一些實施例中,相對於在高介電常數金屬閘極結構210和閘極間隔物212上形成的盔狀材料層,介電材料層266可提供足夠的蝕刻選擇比(例如,蝕刻選擇比大於10)。換句話說,在源極/汲極接觸物256上形成的介電材料層266的材料組成不同於如上述之盔狀材料層和閘極間隔物212的材料組成。在許多實施例中,這種蝕刻選擇比可確保當在源極/汲極接觸物256上方形成額外的互連結構(例如,導通孔260)時,在圖案化製程期間的未對準情形不會損壞高介電常數金屬閘極結構210而導致HKMG和互連結構之間的短路。在一些實施例中,介電材料層266的設置可適用於自對準接觸窗形成製程。
請參照第13-23B圖,本發明提供了用於形成半導體裝置200的方法300。在一些方面,方法300與方法100類似但不相同。因此,出於簡化的目的,方法100和方法300中相同的部件由相同的符號表示。在一些實施例中,方法300與方法100的不同之處在於,方法300是被用來形成如下的裝置,其中兩個相鄰的源極/汲極接觸物的僅其中一個(例如外部節點)與額外的互連結構(例如,一個導通孔)連接,而兩個相鄰的源極/汲極接觸物中的另一個,例如內部節點(internal node),則與額外的互連結構絕緣。
參照第14圖,方法300的步驟302中提供了基本上與第3圖所示之半導體裝置200類似的半導體裝置200。之後,在步驟304,仍然參照第14圖,通過上面關於步驟104討論之一系列的圖案化和蝕刻製程,在每一個源極/汲極部件上形成溝槽(未顯示於圖中),類似於前面討論的源極/汲極部件214和216上形成溝槽的步驟。並且在溝槽中沉積類似於前面詳細討論的介電材料230的介電材料,以形成虛設源極/汲極接觸物232和234。在所示實施例中,介電材料230的一部分231是沉積在半導體裝置200的頂表面上方。
參照第15-17圖,方法300之步驟306是以另一個包括與介電材料230不同的介電材料274的虛設源極/汲極接觸物276,來替換虛設源極/汲極接觸物232和234的其中一個。根據一些實施例,如第16圖所示,介電材料274的一部分275沉積在半導體裝置200的頂表面上。在一些實施例中,首先通過一系列圖案化和蝕刻製程以去除虛設源極/汲極接觸物232和234的其中一個以形成溝槽272,此一系列製程類似於上面如步驟104所討論的製程。例如,可以在半導體裝置200上形成包括阻劑材料的遮罩元件(未顯示於圖中),然後可以對遮罩元件進行圖案化以暴露出待移除的虛設源極/汲極接觸物232和234的其中一個。之後,可以通過一個或多個蝕刻製程(例如乾式蝕刻製程)和類似如上述在步驟108中所使用的一種或多種蝕刻劑例如含氧蝕刻劑(例如,O2 ),以去除虛設源極/汲極接觸物232和234的其中一個。
之後,方法300包括將介電材料274沉積在溝槽272中並進行一個或多個平坦化製程(例如,CMP),以從半導體裝置200的頂表面移除介電材料的部分231和275。介電材料274與介電材料230不同,並且可以包括例如含氧介電材料(例如,氧化矽、旋塗玻璃(SOG)、金屬氧化物等)。一些實施例中,介電材料230可以是含碳材料(例如,SOC),介電材料274可以是含氧材料(例如,SOG)。介電材料274毋需與層間介電層218中包括的介電材料不同,因為在後續製程步驟中不需要涉及兩者之間的蝕刻選擇性。介電材料274可以通過任何合適的方法沉積,例如CVD、FCVD、SOG、PVD、ALD、化學氧化、其他合適的方法或其組合進行沉積。在所描述的實施例中,使用低溫FCVD製程沉積介電材料274,以確保對源極/汲極部件216的熱損壞可達到最小化。之後,進行一個或多個平坦化製程,以在源極/汲極部件214和216之其中一個的上方形成虛設源極/汲極接觸物276但不在源極/汲極部件214和216之另一個的上方形成虛設源極/汲極接觸物276。例如,在此實施例中,設置在源極/汲極部件216上方的虛設源極/汲極接觸物234被虛設源極/汲極接觸物276替換;然而,也可以用虛設源極/汲極接觸物276代替虛設源極/汲極接觸物232。
參照第18圖,方法300的步驟308中包括移除部分的虛設源極/汲極接觸物232和276,以及設置在其間的一部分之層間介電層218,以形成溝槽280。溝槽280可通過一系列與步驟106討論相關的圖案化和蝕刻製程而形成,利用例如遮罩元件278來暴露出半導體裝置200的待蝕刻部分。值得注意的是,由於虛設源極/汲極接觸物232所包含的介電材料不同於層間介電層218和/或虛設源極/汲極接觸物276所包含的介電材料,所以虛設源極/汲極接觸物232、層間介電層218和/或虛設源極/汲極接觸物276的去除速率可能不同,使得在步驟308中各個前述部件通過蝕刻製程去除的相對量也可能不同。例如,根據一些實施例的敘述,層間介電層218和虛設源極/汲極接觸物276以比虛設源極/汲極接觸物232更快的速率被蝕刻。然而,類似於步驟106,沒有必要對於蝕刻虛設源極/汲極接觸物232和276以及層間介電層218所使用的蝕刻劑進行選擇,以對於介電材料230、介電材料274或者包含在層間介電層218中的介電材料特別具有蝕刻選擇性,但是溝槽280可如下面詳細討論內容而形成和配置。之後,可利用各種適合的方法例如電漿灰化或抗蝕層剝離,以將遮罩元件278從半導體裝置200上移除。
在一些實施例中,類似關於溝槽242的描述,溝槽280的開口至少是從虛設源極/汲極接觸物232的外側壁到虛設源極/汲極接觸物276內側壁所量測的分離距離281。在一些實施例中,溝槽280的開口可以由寬度279做界定,寬度279從虛設源極/汲極接觸物232的外側壁跨越到虛設源極/汲極接觸物276的外側壁,使得溝槽280的側壁可由層間介電層218界定。換句話說,溝槽280的開口並不限於特定寬度,而是可以根據設計要求而變化。可藉由調整在圖案化製程期間於遮罩元件278中形成的開口,而調整溝槽280的寬度。
參照第19圖,方法300的步驟310中包括選擇性地移除相對於層間介電層218和虛設源極/汲極接觸物276的虛設源極/汲極接觸物232之剩餘部分,以形成暴露出源極/汲極部件214的溝槽282。在許多實施例中,溝槽282通過蝕刻製程形成,例如乾式蝕刻製程、濕式蝕刻製程、RIE製程、其他合適的製程或前述製程的組合。在許多實施例中,蝕刻製程是採用含氧蝕刻氣體(例如O2 )的乾式蝕刻製程。與步驟308的蝕刻製程不同,步驟310的蝕刻製程中以比起移除層間介電層218和虛設源極/汲極接觸物276更高的速率移除虛設源極/汲極接觸物232。換句話說,步驟310的蝕刻製程310以相對於層間介電層218和虛設源極/汲極接觸物276而選擇性地移除虛設源極/汲極接觸物232,使得層間介電層218和虛設源極/汲極接觸物276未被蝕刻或被最小程度地蝕刻。例如,虛設源極/汲極接觸物232相對於層間介電層218和虛設源極/汲極接觸物276的蝕刻選擇比可以至少是2。在一些實施例中,可不使用遮罩元件來進行步驟310的蝕刻製程。
一併參照第20-22B圖,方法300的步驟312中,根據類似於關於方法100的步驟110所敘述的一系列製程,以在溝槽280和282中形成源極/汲極接觸物286。例如,參照第20圖,方法300包括可以在暴露的源極/汲極部件214上方形成金屬矽化物層250、在溝槽280和282中沉積阻障層252、在阻障層252上方沉積導電材料254(第21圖),並進行平坦化製程以形成源極/汲極接觸物286(第22A圖)。
源極/汲極接觸物286可包括兩個部分:設置在源極/汲極部件214上並具有由源極/汲極部件214界定之底表面的接觸部286A,以及將接觸部286A朝向虛設源極/汲極接觸物276延伸的接觸部286B,接觸部286B並具有由一部分的層間介電層218和一部分的虛設源極/汲極接觸物276所界定的底表面。在這方面,類似於前述關於源極/汲極接觸物256所討論的接觸部256C,接觸部286B可以被認為是源極/汲極接觸物延伸體(MDX)。如第22A圖所示,接觸部286B的底表面在接觸部286A的底表面的上方,並且藉由層間介電層218和虛設源極/汲極接觸物276的部分而與源極/汲極部件216的頂表面分離。第22B圖描繪了與第23A圖相似的實施例,除了接觸部286B從接觸部286A跨越到接觸設置在源極/汲極部件292上方的接觸部286C,其中接觸部286B設置在第三區域290中的鰭片290a和鰭片290b之上,類似於前述第11B圖所討論的實施例。
參照第23A圖,方法300的步驟314中包括對半導體裝置200進行額外的製程步驟。例如,類似於上述關於第12A圖的討論,可以形成垂直的互連部件,例如在設置在源極/汲極接觸物286和層間介電層218上方的層間介電層258中形成導通孔260。如此,接觸部286B使得源極/汲極接觸物286的製程窗口可從W3放大到了W4,可允許互連部件的圖案化製程有更大的彈性。其他互連部件,例如類似於導線264的導線,可以隨後形成在例如位於層間介電層258和導通孔260上方的層間介電層262中,如第23A圖所示。之前關於第12A圖的敘述已經了討論可用以形成導通孔260和導線264的方法。
參照第23B圖,類似於上述第12B圖之實施例,方法300的步驟314中可包括回蝕一部分的源極/汲極接觸物286和一部分的阻障層252以形成溝槽,並且在溝槽中沉積例如介電材料層266的介電材料層,以進行額外的製程步驟,例如關於第12B圖所敘述的自對準接觸窗形成製程。之後,如上述討論,可對層間介電層258和介電材料層266進行圖案化,以形成導通孔260 。
本發明的一個或多個實施例,雖然不是限制性的,但是對於半導體裝置及其形成方法提供了許多優點。例如,本發明的實施例提供了一種形成方法,以在裝置等級的源極/汲極部件上方、或者在跨越了源極/汲極部件上方的源極/汲極接觸物與隔離部件的上方,形成源極/汲極接觸物延伸體(MDX) ,而不會增加源極/汲極觸物整體(或源極/汲極接觸物和隔離部件)的高度或是增加阻障層界面,這兩者都可能降低裝置性能(例如,增加接觸電阻)。本發明的一些實施例提供了在裝置等級的源極/汲極部件上形成虛設接觸部件的方法。此裝置等級的源極/汲極部件包括與周圍的層間介電層不同的介電材料,以提供足夠的蝕刻選擇比,使得源極/汲極接觸物延伸體可以在之後的製程中被圖案化並且與源極/汲極接觸物一起金屬化。此外,隨著源極/汲極接觸物延伸體的形成,也可以擴大對於互連部件進行圖案化(例如,在源極/汲極接觸物和在源極/汲極接觸物延伸體上方形成的導通孔和導線)的製程窗口,以適應在此圖案化製程期間可能發生的未對準情況。
在一方面中,本發明實施例提供了一種形成半導體裝置之方法。此方法包括在第一磊晶源極/汲極部件上形成第一虛設源極/汲極接觸物,以及在第二磊晶源極/汲極部件上形成第二虛設源極/汲極接觸物,第一虛設源極/汲極接觸物和第二虛設源極/汲極接觸物形成於層間介電層中。此方法亦包括將第一虛設源極/汲極接觸物的一部分、第二虛設源極/汲極接觸物的一部分以及設置於第一虛設源極/汲極接觸物和第二虛設源極/汲極接觸物之間的層間介電層的一部分移除,以形成第一溝槽。此方法還包括移除第一虛設源極/汲極接觸物的留下部分以形成第二溝槽,並且在第一溝槽和第二溝槽中形成一金屬源極/汲極接觸物。在一些實施例中,第一虛設源極/汲極接觸物和第二虛設源極/汲極接觸物包含了與層間介電層之介電材料不同的介電材料。
在一些實施例中,此方法包括:相對於層間介電層之介電材料選擇性地蝕刻第一虛設源極/汲極接觸物的介電材料,以移除第一虛設源極/汲極接觸物的留下部分。
在一些實施例中,此方法中移除該第一虛設源極/汲極接觸物的留下部分更包括:移除第二虛設源極/汲極接觸物以形成第二溝槽,使得金屬源極/汲極接觸物接觸第一磊晶源極/汲極部件和第二磊晶源極/汲極部件。
在一些實施例中,第一虛設源極/汲極接觸物和第二虛設源極/汲極接觸物包含第一介電材料,在形成第一溝槽之前,此方法更包括:移除第二虛設源極/汲極接觸物的第一介電材料以形成一第三溝槽;在第三溝槽中沉積第二介電材料,其中第二介電材料與第一介電材料不同;以及對第二介電材料之頂表面進行平坦化。
在一些實施例中,上述第一介電材料是含碳材料,上述第二介電材料是含氧材料。
在一些實施例中,是由上述第二介電材料來界定第一溝槽的一個側壁。
在一些實施例中,前述第一溝槽的寬度是延伸經過第二介電材料,使得第一溝槽的一個側壁是由層間介電層所界定。
在一些實施例中,相對於該第二介電材料選擇性地蝕刻第一介電材料,以移除第一虛設源極/汲極接觸物的留下部分。
在另一方面中,本發明實施例提供了一種形成半導體裝置之方法。此方法包括對層間介電層進行蝕刻,以形成暴露出第一源極/汲極部件的第一溝槽和暴露出第二源極/汲極部件的第二溝槽。在第一溝槽和第二溝槽中沉積介電材料,以分別形成第一介電部件和第二介電部件。並且以源極/汲極接觸物置換第一介電部件和第二介電部件,其中源極/汲極接觸物接觸第一源極/汲極部件和第二源極/汲極部件。在一些實施例中,置換第一介電部件和第二介電部件的步驟包括:對部分的第一介電部件和第二介電部件以及設置於第一介電部件和第二介電部件之間的層間介電層的一部分進行蝕刻,以形成具有從第一介電部件跨越到第二介電部件的寬度的第三溝槽;對第一介電部件和第二介電部件的留下部分進行蝕刻,以形成暴露出第一源極/汲極部件和第二源極/汲極部件的第四溝槽;以及在第三溝槽和第四溝槽中沉積一導電材料。
在一些實施例中,第三溝槽所暴露出的層間介電層之一部分的頂表面是位於第一源極/汲極部件和第二源極/汲極部件之上。
在一些實施例中,在沉積導電材料之前,此方法更包括在第三溝槽和第四溝槽中形成阻障層。
在一些實施例中,在沉積導電材料之前,此方法更包括在第一源極/汲極部件和第二源極/汲極部件的上方分別形成金屬矽化物層。
在一些實施例中,第三溝槽之寬度是延伸經過第一介電部件和第二介電部件,使得第三溝槽的側壁是由層間介電層所界定。
在一些實施例中,第三溝槽之寬度的延伸是部分地經過第二介電部件,使得第三溝槽的一個側壁是由第二介電部件所界定。
在一些實施例中,此方法蝕刻第一介電部件和第二介電部件包括:在部分的第一介電部件和第二介電部件的上方形成一圖案化抗蝕層(patterned resist layer)。在一些實施例中,此方法蝕刻第一介電部件和第二介電部件的留下部分包括:相對於層間介電層之介電材料選擇性地蝕刻第一介電部件和第二介電部件。
在又一個方面中,本發明實施例提供一種半導體裝置,包括第一磊晶源極/汲極部件、鄰近第一磊晶源極/汲極部件設置的第二磊晶源極/汲極部件、設置在第一磊晶源極/汲極部件和第二磊晶源極/汲極部件上方的層間介電層、設置在第二磊晶源極/汲極部件的上方並接觸第二磊晶源極/汲極部件的介電部件、以及設置在第一磊晶源極/汲極部件的上方並接觸第一磊晶源極/汲極部件的源極/汲極接觸物,其中一部分的源極/汲極接觸物延伸經過層間介電層以與介電部件接觸。
在一些實施例中,此半導體裝置更包括設置在源極/汲極接觸物與第一磊晶源極/汲極部件之間的金屬矽化物。
在一些實施例中,此半導體裝置更包括一阻障層,其中阻障層的第一部分設置在源極/汲極接觸物與層間介電層之間,阻障層的第二部分設置在源極/汲極接觸物與第一磊晶源極/汲極部件之間,以及阻障層的第三部分設置在源極/汲極接觸物與介電部件之間。
在一些實施例中,此半導體裝置的源極/汲極接觸物之底表面的一部分是位在第一磊晶源極/汲極部件與第二磊晶源極/汲極部件之上。
在一些實施例中,前述源極/汲極接觸物是第一源極/汲極接觸物,此半導體裝置更包括第三磊晶源極/汲極部件,其中第二磊晶源極/汲極部件設置在第一磊晶源極/汲極部件和第三磊晶源極/汲極部件之間,且層間介電層設置在第一磊晶源極/汲極部件、第二磊晶源極/汲極部件和第三磊晶源極/汲極部件的上方。此半導體裝置更包括第二源極/汲極接觸物,設置在第三磊晶源極/汲極部件上方並與第三磊晶源極/汲極部件接觸,其中第二源極/汲極接觸物的一部份延伸經過層間介電層以接觸介電部件與第一源極/汲極接觸物。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
100、300:方法; 102、104、106、108、110、112、302、304、306、308、310、312、314:步驟; 200:半導體裝置; 202:基底; 204:第一區域; 206:第二區域; 290:第三區域; 204a、204b、206a、206b、290a、290b:鰭片; 208:隔離結構; 210:高介電常數金屬閘極結構; 212:閘極間隔物; 214、216、292:源極/汲極部件; 218、258、262 :層間介電層; 222、224、242、244、246、272、280、282:溝槽; 243:底表面; 230、231、274、275:介電材料; 232、234、276:虛設源極/汲極接觸物; 236、W1、W2、279、W3、W4:寬度; 238、281:分離距離; 240、278:遮罩元件; 250:金屬矽化物層; 252:阻障層; 254:導電材料; 256:源極/汲極接觸物; 256A、256B、256C、256D、256E、286A、286B、286C:接觸部; 260:導通孔; 264:導線; 266:介電材料層。
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1圖是根據本發明的各方面形成半導體裝置的方法的流程圖。 第2圖是根據本發明各方面的一些實施例的半導體裝置的透視圖。 第3、4、5、6、7、8、9、10、11A、11B、12A和12B圖是根據本發明各方面的一些實施例中,依據第1圖之方法的中間階段期間所形成的半導體裝置沿著第2圖的線AA’繪製的剖面示意圖。 第13圖是根據本發明的各方面形成半導體裝置的方法的流程圖。 第14、15、16、17、18、19、20、21、22A、22B、23A和23B圖是根據本發明各方面的一些實施例中,依據第13圖之方法的中間階段期間所形成的半導體裝置沿著第2圖的線AA’繪製的剖面示意圖。
100:方法
102、104、106、108、110、112:步驟

Claims (1)

  1. 一種形成半導體裝置的方法,包括: 在一第一磊晶源極/汲極部件上形成一第一虛設源極/汲極接觸物,以及在一第二磊晶源極/汲極部件上形成一第二虛設源極/汲極接觸物,其中該第一虛設源極/汲極接觸物和該第二虛設源極/汲極接觸物形成於一層間介電層中,且該第一虛設源極/汲極接觸物和該第二虛設源極/汲極接觸物包含與該層間介電層之介電材料不同的介電材料; 移除該第一虛設源極/汲極接觸物的一部分、該第二虛設源極/汲極接觸物的一部分以及設置於該第一虛設源極/汲極接觸物和該第二虛設源極/汲極接觸物之間的該層間介電層的一部分,而形成一第一溝槽; 移除該第一虛設源極/汲極接觸物的留下部分而形成一第二溝槽;以及 在該第一溝槽和該第二溝槽中形成一金屬源極/汲極接觸物。
TW108127843A 2018-08-14 2019-08-06 形成半導體裝置的方法 TW202013471A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862718783P 2018-08-14 2018-08-14
US62/718,783 2018-08-14
US16/386,853 2019-04-17
US16/386,853 US10840342B2 (en) 2018-08-14 2019-04-17 Methods of forming source/drain contacts in field-effect transistors

Publications (1)

Publication Number Publication Date
TW202013471A true TW202013471A (zh) 2020-04-01

Family

ID=69523033

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108127843A TW202013471A (zh) 2018-08-14 2019-08-06 形成半導體裝置的方法

Country Status (3)

Country Link
US (3) US10840342B2 (zh)
CN (1) CN110828573A (zh)
TW (1) TW202013471A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11616144B2 (en) * 2018-09-05 2023-03-28 Samsung Electronics Co., Ltd. Semiconductor device
CN113707610B (zh) * 2020-05-21 2023-04-18 长鑫存储技术有限公司 半导体器件及其形成方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR102427326B1 (ko) * 2015-10-26 2022-08-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR102472135B1 (ko) * 2016-10-06 2022-11-29 삼성전자주식회사 집적회로 소자 및 그 제조 방법
CN107968118B (zh) * 2016-10-19 2020-10-09 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
US10283608B2 (en) * 2017-03-17 2019-05-07 Globalfoundries Inc. Low resistance contacts to source or drain region of transistor
US10522392B2 (en) 2017-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same

Also Published As

Publication number Publication date
US10840342B2 (en) 2020-11-17
US20210074819A1 (en) 2021-03-11
US20230378282A1 (en) 2023-11-23
US11749725B2 (en) 2023-09-05
US20200058744A1 (en) 2020-02-20
CN110828573A (zh) 2020-02-21

Similar Documents

Publication Publication Date Title
US11410877B2 (en) Source/drain contact spacers and methods of forming same
US20210313233A1 (en) Methods of Reducing Parasitic Capacitance in Semiconductor Devices
US11955486B2 (en) Integrated circuit device and method of forming the same
US11682590B2 (en) Methods of forming contact features in field-effect transistors
KR20200049574A (ko) 반도체 디바이스의 상이한 영역에서 상이한 유전 상수 및 크기를 가지는 유전체 핀들
TW201946274A (zh) 半導體裝置的製造方法
US20230378282A1 (en) Methods of forming source/drain contacts in field-effect transistors
US20220367344A1 (en) Contact Features and Methods of Fabricating the Same in Semiconductor Devices
US20230099320A1 (en) Method And Device For Forming Metal Gate Electrodes For Transistors
TW202133323A (zh) 半導體結構
KR20170110332A (ko) 반도체 장치 및 그 제조 방법
US11532480B2 (en) Methods of forming contact features in semiconductor devices
US20230060269A1 (en) Forming Interconnect Structures in Semiconductor Devices
TWI818226B (zh) 半導體裝置
US20220102274A1 (en) Semiconductor Device with Backside Power Rail and Method for Forming the Same
TWI765292B (zh) 半導體裝置及其形成方法
TW202119628A (zh) 半導體結構
US20240014283A1 (en) Semiconductor device with backside power rail
TWI792234B (zh) 半導體結構及其形成方法
US20240055525A1 (en) Semiconductor device and method of forming the same
US20240038858A1 (en) Semiconductor device structure and methods of forming the same
TW202410203A (zh) 半導體裝置及其製造方法
TW202243202A (zh) 互補式金屬氧化物半導體裝置
CN110660741A (zh) 半导体结构的形成方法