TW202004826A - 改良的離子束蝕刻反應腔 - Google Patents

改良的離子束蝕刻反應腔 Download PDF

Info

Publication number
TW202004826A
TW202004826A TW107133914A TW107133914A TW202004826A TW 202004826 A TW202004826 A TW 202004826A TW 107133914 A TW107133914 A TW 107133914A TW 107133914 A TW107133914 A TW 107133914A TW 202004826 A TW202004826 A TW 202004826A
Authority
TW
Taiwan
Prior art keywords
reaction chamber
processing reaction
partitions
plasma
product
Prior art date
Application number
TW107133914A
Other languages
English (en)
Other versions
TWI734033B (zh
Inventor
謝得賢
曾李全
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202004826A publication Critical patent/TW202004826A/zh
Application granted granted Critical
Publication of TWI734033B publication Critical patent/TWI734033B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/46Sputtering by ion beam produced by an external ion source
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5873Removal of material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2208/00Processes carried out in the presence of solid particles; Reactors therefor
    • B01J2208/00796Details of the reactor or of the particulate material
    • B01J2208/00938Flow distribution elements
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0869Feeding or evacuating the reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在一些實施例中,本揭露係關於一種離子束蝕刻設備。該離子束蝕刻設備包含:一基板固持件,其放置於一處理反應腔內;及一電漿源,其與該處理反應腔連通。一真空泵藉助於一入口耦合至該處理反應腔。一或多個隔板配置於該基板固持件與該處理反應腔之一下表面之間。一副產物再分配器經組態以將來自一蝕刻程序之一副產物自該一或多個隔板之外部移動至該一或多個隔板正下方。

Description

改良的離子束蝕刻反應腔
本發明實施例係有關改良的離子束蝕刻反應腔。
離子束蝕刻(即,離子束銑削)係在整合式晶片之製造期間使用之一常用蝕刻程序。離子束蝕刻係能夠沿著一特定方向優先移除一材料之一非等向性蝕刻程序。藉由沿著一特定方向移除一材料,可形成高密度構件。
本發明的一實施例係關於一種離子束蝕刻設備,其包括:一基板固持件,其放置於一處理反應腔內;一電漿源,其與該處理反應腔連通;一真空泵,其藉助於一入口耦合至該處理反應腔;一或多個隔板,其等配置於該基板固持件與該處理反應腔之一下表面之間;及一副產物再分配器,其經組態以將來自一蝕刻程序之一副產物自該一或多個隔板之外部移動至該一或多個隔板正下方。
本發明的一實施例係關於一種蝕刻設備,其包括:一基板固持件,其放置於一處理反應腔內且包括經組態以固持一工件之一工件接納區域;一真空泵,其藉助於一入口耦合至該處理反應腔;一或多個隔板,其等在該基板固持件與該處理反應腔之一下表面之間的垂直位置處自該處理反應腔之一側壁向外延伸;及一副產物再分配器,其經組態以將來自一蝕刻程序之一副產物移動至該一或多個隔板正下方。
本發明的一實施例係關於一種執行一蝕刻程序之方法,其包括:在與一處理反應腔連通之一電漿反應腔內產生一電漿;使來自該電漿之離子加速朝向該處理反應腔內之一工件以產生一離子束,其中該離子束執行蝕刻該工件上之一材料之一蝕刻程序;及將來自該蝕刻程序之一副產物移動至該處理反應腔內之一或多個隔板正下方。
以下揭露內容提供用於實施本揭露之不同特徵之許多不同實施例或實例。下文描述組件及配置之特定實例以簡化本揭露。當然,此等僅為實例且不旨在限制。舉例而言,在下列描述中之一第一構件形成於一第二構件上方或上可包含其中該第一構件及該第二構件經形成直接接觸之實施例,且亦可包含其中額外構件可形成在該第一構件與該第二構件之間,使得該第一構件及該第二構件可不直接接觸之實施例。另外,本揭露可在各種實例中重複元件符號及/或字母。此重複出於簡化及清楚之目的,且本身不指示所論述之各項實施例及/或組態之間之一關係。
此外,為便於描述,可在本文中使用諸如「在…下面」、「在…下方」、「下」、「在…上方」、「上」及類似者之空間相對術語來描述一個元件或構件與另一(些)元件或構件之關係,如圖中所繪示。空間相對術語旨在涵蓋除在圖中描繪之定向以外之使用或操作中之裝置之不同定向。設備可以其他方式經定向(旋轉90度或按其他定向)且本文中使用之空間相對描述符同樣可相應地解釋。
離子束蝕刻係一乾式蝕刻程序,其藉由用包括帶電離子之一離子束轟擊一工件上之一材料而蝕刻該材料。為執行離子束蝕刻,將一工件裝載至一處理反應腔中且將該處理反應腔抽氣至一高真空(即,一低壓)。在與該處理反應腔連通之一電漿源內形成一電漿且接著藉由用使來自該電漿之離子加速朝向該工件之一電場作用於該電漿而產生一離子束。當帶電離子以足夠能量撞擊材料時,其等將去除該材料之粒子,藉此蝕刻該材料。
藉由一離子束蝕刻程序自工件去除之粒子通常黏著至一處理反應腔之內表面(例如,側壁、底面及/或頂面)。然而,一些材料(諸如陶瓷(例如,壓電材料))並非良好地黏著至該處理反應腔之該等內表面。已瞭解,當給一處理反應腔通氣(即,在完成一蝕刻程序之後自低壓返回至一環境壓力)時,處理反應腔內之氣體可變為紊流且引起此等粒子變為空浮的。該等空浮粒子可再沉積至工件上,從而引起可靠性問題並降低工件上之一整合式晶片之良率。例如,將一導電副產物再沉積至一整合式晶片工件上可導致電短路及整合式晶片故障。
在一些實施例中,本揭露係關於一離子束蝕刻設備,其經組態以減少一蝕刻副產物再沉積至一工件上。該離子束蝕刻設備包括放置於一處理反應腔內且經組態以固持一工件之一基板固持件。該處理反應腔與經組態以提供作為一離子束加速朝向該基板固持件之離子之一電漿源連通,且與經組態以降低該處理反應腔內之一壓力之一真空泵連通。一或多個隔板經配置於該基板固持件與該處理反應腔之一下表面之間。一副產物再分配器經組態以將來自一蝕刻程序之一副產物自該一或多個隔板之外部移動至該一或多個隔板正下方。藉由將來自該蝕刻程序之該副產物移動至該一或多個隔板正下方,該一或多個隔板能夠減輕來自該蝕刻程序之該副產物再沉積回至該工件上,藉此減少該工件上之缺陷並提高良率。
圖1繪示具有經組態以減少一蝕刻副產物再沉積至一工件上之一或多個隔板之一所揭示離子束蝕刻設備100之一些實施例的一方塊圖。
離子束蝕刻設備100包括配置於一處理反應腔102內之一基板固持件104。處理反應腔102具有一外殼,該外殼包括配置於一上表面102u與一下表面102l之間之一側壁102s。基板固持件104經組態以固持待蝕刻之一工件106 (例如,包括一半導體基板)。處理反應腔102與經組態以產生一電漿110之一電漿源108連通。在各項實施例中,電漿源108可包括一電感耦合電漿(ICP)源、一直流電漿(DCP)源、一微波誘導電漿(MIP)源或類似者。
一網格系統112經配置於電漿源108與基板固持件104之間。網格系統112經組態以藉由在處理反應腔102內產生一電磁場而形成一離子束114。該電磁場使來自電漿110之離子沿著基板固持件104之一方向加速至處理反應腔102中。離子束114經組態以轟擊工件106之一表面。以足夠能量衝擊工件106之表面之離子將藉由自工件106去除粒子而執行一蝕刻程序。該等去除之粒子朝向處理反應腔102之下表面102l掉落作為蝕刻程序之一副產物。
處理反應腔102藉助於一真空入口118耦合至一真空泵116。在一些實施例中,真空入口118可在處理反應腔102之上表面102u內。在其他實施例中,真空入口118可在處理反應腔102之其他表面(例如,側壁102s)內。真空泵116經組態以在操作期間降低處理反應腔102內之一壓力。降低處理反應腔102內之一壓力容許形成離子束114及減少在蝕刻程序期間工件106之污染。在各項實施例中,真空泵116可包括一粗抽泵及/或一高真空泵。在一些實施例中,一第一閥119可經組態以選擇性地容許真空泵116對處理反應腔102抽氣。
一或多個隔板120係沿著處理反應腔102之一周邊配置。一或多個隔板120經配置於基板固持件104下方之一垂直位置處。在一些實施例中,一或多個隔板120經配置於基板固持件104與處理反應腔102之一下表面102l之間之一垂直位置處。在一些實施例中,一或多個隔板120橫向延伸於處理反應腔102之下表面102l之一部分上方且與處理反應腔102之下表面102l分離一非零距離。在一些實施例中,一或多個隔板120包括藉由在基板固持件104正下方之一空間分離之側壁。在一些實施例中,一或多個隔板120可耦合至處理反應腔102之側壁102s。在其他實施例中(未展示),一或多個隔板120可耦合至處理反應腔102之下表面102l。
一副產物再分配器122經組態以將蝕刻程序115之副產物自一或多個隔板120之外部(即,非正下方)再分配(藉由箭頭124展示)至一或多個隔板120正下方。藉由操作副產物再分配器122以將蝕刻程序115之副產物自一或多個隔板120之外部再分配至一或多個隔板120正下方,一或多個隔板120能夠在給反應腔通氣(即,在完成蝕刻程序之後自低壓返回至一環境壓力)時防止蝕刻程序115之副產物再沉積於工件106上,藉此減少工件106上之缺陷並提高良率。
圖2繪示具有一或多個隔板之一所揭示離子束蝕刻設備200之一些額外實施例的一方塊圖。
離子束蝕刻設備200包含包括一外殼之一處理反應腔102,該外殼具有耦合於一上表面與一下表面102l之間之側壁。在一些實施例中,該外殼可包括一金屬,諸如鋁、鐵或類似者。一可旋轉載物台總成202經放置於處理反應腔102內。可旋轉載物台總成202包括具有經組態以接納一工件106之一平坦表面之一工件接納區域。該工件接納區域藉由經組態以圍繞一或多個旋轉軸旋轉之一接頭204而耦合至一安裝臂203,以便控制一離子束114能夠撞擊工件106之一角度。在一些實施例中,可旋轉載物台總成202可經組態以旋轉以便使離子束114能夠以+/- 90度之間之一角度撞擊工件106。在一些實施例中,可旋轉載物台總成202可耦合至一反應腔門206,反應腔門206藉由一或多個鉸鏈而附接至處理反應腔102,該一或多個鉸鏈經組態以在將工件裝載至處理反應腔102中期間使反應腔門206能夠擺動打開。
一電漿源108經耦合至處理反應腔102。在一些實施例中,電漿源108包括具有一外殼之一電漿反應腔208。電漿反應腔208藉助於配置於電漿反應腔208之一內表面內之一氣體入口209而耦合至一氣體源210 (例如,氣罐)。在一些實施例中,一第二閥211可經組態以選擇性地容許氣體源210將一氣體引入至電漿反應腔208中。一RF天線212與電漿反應腔208通信。RF天線212耦合至經組態以產生一RF訊號之一RF電源供應器214。在一些實施例中,RF電源供應器214可依一設定RF頻率(例如,13.56 MHz)操作。在一些實施例中,RF電源供應器214可藉助於一匹配網路216而耦合至RF天線212,匹配網路216經組態以使RF電源供應器214之輸出阻抗與藉由RF天線212及一電漿負載(即,阻抗)建立之一複阻抗匹配,藉此使來自RF電源供應器214之電力有效地耦合至電漿反應腔208內之一電漿110中。
在一些實施例中,RF天線212可包括包含一導電線之一導電線圈。在一項實施例中,該導電線圈可纏繞於電漿反應腔208之一外部達複數匝。在替代實施例中,導電線圈可包含於電漿反應腔208之一內部內。感應線圈經組態以產生一電磁場,該電磁場將來自RF電源供應器214之能量傳遞至電漿反應腔208內之氣體粒子以形成一電感耦合電漿。例如,RF電源供應器214可產生一時間相依電流,該時間相依電流在電漿反應腔208內產生一時變磁場,該時變磁場引發使帶電粒子加速至足以藉由電離碰撞使電漿反應腔208內之氣體電離之一能量之一時變電場。
一網格系統112經配置於電漿源108與可旋轉載物台總成202之間。網格系統112經組態以使來自電漿110之離子作為一離子束114加速朝向可旋轉載物台總成202。在一些實施例中,網格系統112可包括多個網格。例如,網格系統112可包括一第一網格、一第二網格及一第三網格。該第一網格與電漿110接觸,且可偏壓至一正電壓以定義一射束電壓或能量。該第二網格可偏壓至一負電壓以使來自電漿110之離子加速成離子束114。該第三網格通常接地且有助於減少離子束114之發散。在一些實施例中,網格系統112可包括一或多個網格,該一或多個網格分別包括具有延伸通過其之複數個孔隙之一導電材料(例如,一金屬)薄片。在其他實施例中,網格系統112可包括一或多個網格,該一或多個網格分別包括延伸於一外導電環上之不同點之間以界定複數個孔隙之複數個導電線或導電條。
一或多個隔板120係沿著處理反應腔102之一周邊配置。在各項實施例中,一或多個隔板120可包括一導電材料,諸如一金屬(例如,鋁、錫、銅或類似者)。在其他實施例中,一或多個隔板120可包括一絕緣材料(例如,一塑膠、一陶瓷或類似者)。一或多個隔板120經配置於可旋轉載物台總成202與處理反應腔102之下表面102l之間的一垂直位置處。一或多個隔板120橫向延伸於處理反應腔102之下表面102l之一部分上方且與處理反應腔102之下表面102l分離一非零距離。在一些實施例中,一或多個隔板120可耦合至處理反應腔102之側壁。
在一些實施例中,一或多個隔板120可包括一第一下表面120a及介於第一下表面120a與處理反應腔102之下表面102l之間的一第二下表面120b。第二下表面120b係沿著一或多個隔板120之一最外邊緣配置。藉由垂直延伸至第二下表面120b,一或多個隔板120能夠更佳地容納一蝕刻程序之一副產物,該副產物已藉由一副產物再分配器122移動至一或多個隔板120正下方。
在一些實施例中,副產物再分配器122包括一或多個冷卻器218a至218b。一或多個冷卻器218a至218b經配置於一或多個隔板120正下方且經組態以降低一或多個隔板120下方之一溫度。藉由降低一或多個隔板120下方之一溫度,在處理反應腔102內形成一溫度梯度。該溫度梯度使一溫度自一或多個隔板120之外部(即,非正下方)至一或多個隔板120正下方降低。該溫度梯度經組態以藉由增強蝕刻程序之副產物擴散至一或多個隔板120下方(例如,根據藉由熱擴散產生之一熱泳力)而將蝕刻程序之副產物移動至一或多個隔板120下方。在一些實施例中,溫度梯度可大於或等於約10°C。此一溫度梯度足以引起一熱泳力移動蝕刻程序之副產物。在一些額外實施例中,溫度梯度可在約10°C與約50°C之間之一範圍中。
在一些實施例中,副產物再分配器122可進一步包括配置於一或多個隔板120之外部(即,非正下方)之一加熱器220。例如,加熱器220可橫向配置於一或多個隔板120之間(例如,在處理反應腔102之一中心)。加熱器220經組態以加熱處理反應腔102之一上覆區域,以便增加溫度梯度及改良蝕刻程序之副產物之移動。
在各項實施例中,一或多個冷卻器218a至218b可包括經組態以輸送一冷液體之管道、一線圈(例如,藉由蒸氣壓縮移動熱)、一熱電熱泵或類似者。在各項實施例中,加熱器220可包括經組態以輸送一熱液體之管道、一線圈(例如,藉由蒸氣壓縮移除熱)、一熱電熱泵、一電阻加熱器或類似者。在一些實施例中,一或多個冷卻器218a至218b及/或加熱器220可配置於處理反應腔102之下表面102l下方。在其他實施例中,一或多個冷卻器218a至218b及/或加熱器220可配置於處理反應腔102內。例如,在一些實施例中,一或多個冷卻器218a至218b及/或加熱器220可包括延伸至處理反應腔102中之管道,該等管道經組態以承載一加熱及/或冷卻液體。
在一些實施例中,一控制單元222可經組態以選擇性地控制真空泵116、第一閥119、第二閥211、RF電源供應器214、網格系統112、一或多個冷卻器218a至218b及/或加熱器220之一或多者之操作。圖3繪示展示圖2之所揭示離子束蝕刻設備200上之控制單元222之操作之一些實施例的一時序圖300。將瞭解,時序圖300中所繪示之操作係所揭示離子束蝕刻設備200之操作之一個非限制性實例且在其他實施例中操作可不同。此外,下文闡述之時序圖300之描述中所包含之元件符號對應於圖2,除非另有說明。
如時序圖300中所展示,在一第一時間T1 ,打開第一閥119且開啟真空泵116以降低處理反應腔102內之一壓力。在各項實施例中,真空泵116可連續地保持開啟,間歇地保持開啟(即,開啟及關閉),或在第一時間T1 與一後續第五時間T5 之間保持關閉。在一第二時間T2 ,打開第二閥211以將一氣體引入至電漿反應腔208中。在一第三時間T3 ,開啟RF電源供應器214。開啟RF電源供應器214引起一電漿110在電漿反應腔208內形成。在一第四時間T4 ,施加一偏壓電壓至網格系統112以便使來自電漿110之離子加速朝向可旋轉載物台總成202。在一第四時間T4 ,亦操作副產物再分配器122以將蝕刻程序(例如,圖1之115)之一副產物移動至一或多個隔板120下方。在一第五時間T5 ,完成蝕刻程序。在蝕刻程序完成之後,關閉第二閥211,關閉RF電源供應器214,關閉網格系統112且關閉副產物再分配器122。在一第六時間T6 ,關閉真空泵116且給處理反應腔102通氣。給處理反應腔102通氣使處理反應腔102內之一壓力增加回至一環境壓力(即,處理反應腔102外部之一壓力)。
圖4繪示具有一或多個隔板之一所揭示離子束蝕刻設備400之一些額外實施例的一方塊圖。
離子束蝕刻設備400包括一副產物再分配器122,副產物再分配器122具有藉助於配置於一或多個隔板120正下方之一或多個額外入口404耦合至一處理反應腔102之一或多個額外真空泵402a至402b。一或多個額外真空泵402a至402b經組態以產生自一或多個隔板120之外部至一或多個隔板120下方降低之一壓力梯度。該壓力梯度經組態以藉由用一力作用於粒子上而將一蝕刻程序之副產物自一或多個隔板120之外部移動至一或多個隔板120下方。在一些實施例中,壓力梯度可大於或等於約101 托。例如,在一些實施例中,一或多個隔板120外部之壓力可為10-5 托,而一或多個隔板120下方之壓力可為10-6 托。在其他實施例中,壓力梯度可小於101 托。
在一些實施例中,一或多個隔板120可包括一移動擋板(未展示),該移動擋板經組態以操作為使蝕刻程序之副產物保持於一或多個隔板120正下方之一閥。例如,一或多個隔板120可包括耦合至延伸於一或多個隔板120下方之一移動擋板之一鉸鏈。藉由一或多個額外真空泵420a至420b產生之低壓將打開該移動擋板以便容許蝕刻程序之副產物移動至一或多個隔板120下方。若移動擋板下方之壓力增加,則將引起閥關閉藉此將蝕刻程序之副產物截留於一或多個隔板120下方。
圖5A至圖5B繪示具有一或多個隔板之一所揭示離子束蝕刻設備之一些實施例之俯視圖500及508。
如圖5A之俯視圖500中所展示,一單個隔板502係沿著一處理反應腔102之一外周邊配置。單個隔板502在一副產物再分配器504上方以一完整環形圍繞該外周邊連續延伸。在一些實施例中,單個隔板502可包括作為一完整環沿著單個隔板502之一邊緣延伸之一第二下表面506。
在一些實施例中,副產物再分配器504可包括作為一完整環連續地延伸於單個隔板502下方之一冷卻器。在其他實施例中(未展示),副產物再分配器可包括可定位於單個隔板502下方之分離及離散位置處之複數個冷卻器。在一些替代實施例中,副產物再分配器504可包括耦合至一真空泵之一入口,該入口作為一完整環連續地延伸於單個隔板502下方。在又其他實施例中(未展示),副產物再分配器可包括定位於單個隔板502下方之分離及離散位置處且耦合至一或多個真空泵之複數個冷卻入口。
如圖5B之俯視圖508中所展示,複數個隔板510沿著一處理反應腔102之一外周邊配置於離散位置處。複數個隔板510彼此分離達非零空間。在一些實施例中,複數個隔板510分別包括連續地延伸於處理反應腔102之一側壁102s上之不同位置之間的一第二下表面512。
在一些實施例中,一副產物再分配器514可包括分別放置於複數個隔板510之一者下方之複數個冷卻器。在一些替代實施例中,副產物再分配器514可包括分別配置於複數個隔板510之一者下方且耦合至一真空泵之複數個入口。
在各項實施例中,所揭示隔板可具有不同形狀。圖6A至圖6D繪示本文中所揭示之隔板之一些實施例之剖面圖。將瞭解,圖6A至圖6D中所繪示之該等隔板之形狀係隔板之形狀之非限制性實例且在一些額外實施例中,所揭示隔板可具有其他形狀。
圖6A繪示一所揭示隔板602之一些實施例之一剖面圖600。所揭示隔板602包括具有平行於一處理反應腔102之一下表面102l之一上表面602a之一「L」形結構。上表面602a耦合至垂直於處理反應腔102之下表面102l之一第一垂直側壁602b及一第二垂直側壁602c。第一垂直側壁602b接觸處理反應腔102之一側壁102s且耦合至一第一下表面602d。第二垂直側壁602c耦合至第一下表面602d與處理反應腔102之下表面102l之間的一第二下表面602e。
圖6B繪示一所揭示隔板606之一些替代實施例之一剖面圖604。所揭示隔板606包括平行於一處理反應腔102之一下表面102l之一上表面606a。上表面606a耦合至接觸處理反應腔102之一側壁102s之一第一垂直側壁606b。第一垂直側壁606b進一步耦合至一第一下表面606c,第一下表面606c進一步耦合至一第一傾斜表面606d。上表面606a進一步耦合至一第二傾斜表面606e,第二傾斜表面606e耦合至第一傾斜表面606d與第二傾斜表面606e之間之一第二下表面606f。
圖6C繪示一所揭示隔板610之一些替代實施例之一剖面圖608。所揭示隔板610包括耦合至接觸一處理反應腔102之一側壁102s之一第一垂直側壁610b之一上表面610a。第一垂直側壁610b進一步耦合至一第一下表面610d。上表面610a藉助於一第一彎曲表面610c耦合至一第二下表面610f。第一下表面610d藉助於一第二彎曲表面610e耦合至第二下表面610f。第二下表面610f係介於第一下表面610d與處理反應腔102之下表面102l之間。
圖6D繪示一所揭示隔板614之一些實施例之一剖面圖612。所揭示隔板614包括平行於一處理反應腔102之一下表面102l之一上表面614a。上表面614a耦合至垂直於處理反應腔102之下表面102l之一第一垂直側壁614b及一第二垂直側壁614c。第一垂直側壁614b與處理反應腔102之一側壁102s分離且耦合至一第一下表面614d。第二垂直側壁614c與處理反應腔102之一側壁102s分離且耦合至一第二下表面614e。一第三下表面614f係橫向配置於第一下表面614d與第二下表面614e之間。第三下表面614f耦合至將第三下表面614f連接至處理反應腔102之下表面102l之一支撐結構616。
圖7至圖10繪示執行一離子束蝕刻程序之一方法之一些實施例之剖面圖700至1000。儘管圖7至圖10中所展示之剖面圖700至1000係參考一方法而描述,然將瞭解,圖7至圖10中所展示之結構並不限於該方法而是可獨立於該方法。
如圖7之剖面圖700中所展示,一工件106經裝載至一處理反應腔102內之一基板固持件104上。在一些實施例中,工件106可包括一半導體基板。在各項實施例中,該半導體基板可包括任何類型之半導體主體(例如,矽/CMOS塊體、SiGe、SOI等),諸如一半導體晶圓或一晶圓上之一或多個晶粒,以及任何其他類型之半導體及/或形成於其上及/或以其他方式與其相關聯之磊晶層。在一些實施例中,工件106可包括待蝕刻之一經暴露陶瓷材料(例如,一壓電材料)。
如圖8之剖面圖800中所展示,處理反應腔102經抽氣以維持處理反應腔102內之一相對較低壓力。例如,可將處理反應腔102抽氣至在約10-5 托與約10-6 托之間之一範圍中之一壓力。在一些實施例中,可藉由操作一真空泵116以降低處理反應腔102之一壓力而對處理反應腔102抽氣。
在對處理反應腔102抽氣之後,在耦合至處理反應腔102之一電漿反應腔208內產生一電漿110。在一些實施例中,可藉由將一氣體(例如,氬氣)自一氣體源210引入至電漿反應腔208中而產生電漿110。隨後操作一RF電源供應器214以施加一RF訊號至與電漿反應腔208通信之一RF天線212。該RF訊號經組態以產生一電磁場,該電磁場將能量傳遞至電漿反應腔208內之氣體之粒子以形成一電感耦合電漿(ICP)。在一些實施例中,將氣體自氣體源210引入至電漿反應腔208中可在氣體流動時將處理反應腔102之一壓力增加至約10-4 托之一壓力。
如圖9之剖面圖900中所展示,使來自電漿110之離子在處理反應腔102內作為經組態以對工件106執行一蝕刻程序之一離子束114加速朝向工件106。在一些實施例中,藉由施加一或多個偏壓電壓至放置於電漿110與工件106之間之一網格系統112而使來自電漿之離子加速。該一或多個偏壓電壓產生用以使電漿110內之離子加速之一電磁場。該等經加速之離子穿過網格系統112內之孔隙以形成離子束114。
離子束114撞擊工件106。具有足夠能量之離子自工件106去除原子,以便蝕刻工件106。蝕刻程序115之一副產物掉落至一或多個隔板120之間處理反應腔102之一下表面102l。
如圖10之剖面圖1000中所展示,來自蝕刻程序115之副產物自一或多個隔板120之外部(即,非正下方)移動至一或多個隔板120正下方。在各項實施例中,在蝕刻程序之同時及/或在完成蝕刻程序之後,來自蝕刻程序115之副產物可移動至一或多個隔板120正下方。
在一些實施例中,可藉由產生自一或多個隔板120之外部(即,非正下方)至一或多個隔板120正下方降低之一溫度梯度而移動蝕刻程序115之副產物。該溫度梯度經組態以藉由增強蝕刻程序之副產物擴散至一或多個隔板下方而將蝕刻程序之副產物移動至一或多個隔板120下方。在其他實施例中,可藉由產生自一或多個隔板120之外部至一或多個隔板120下方降低之一壓力梯度而移動蝕刻程序115之副產物。
圖11繪示形成具有一嵌入式快閃記憶體裝置(其具有一增強型浮動閘)之一整合式晶片之一方法1100之一些實施例的一流程圖。
雖然方法1100係在下文繪示及描述為一系列動作或事件,然將瞭解,此等動作或事件之所繪示順序不應被解釋為一限制意義。例如,一些動作可按不同順序發生及/或與除本文中所繪示及/或描述之動作或事件之外之其他動作或事件同時發生。另外,無需所有經繪示動作來實施本文中之描述之一或多項態樣或實施例。此外,本文中所描繪之動作之一或多者可在一或多個單獨動作及/或階段中執行。
在1102,將一工件裝載至一處理反應腔中。圖7繪示對應於動作1102之一些實施例之一剖面圖700。
在1104,對處理反應腔抽氣以將處理反應腔內之一壓力自一環境壓力降低至一低壓(即,一高真空)。圖8繪示對應於動作1104之一些實施例之一剖面圖800。
在1106,將一氣體引入至與處理反應腔連通之一電漿反應腔中。圖8繪示對應於動作1106之一些實施例之一剖面圖800。
在1108,在電漿反應腔內由該氣體產生一電漿。圖8繪示對應於動作1108之一些實施例之一剖面圖800。
在1110,使來自該電漿之離子加速朝向處理反應腔內之一工件以便蝕刻處理反應腔內之該工件。圖9繪示對應於動作1110之一些實施例之一剖面圖900。
在1112,將來自蝕刻程序之一副產物移動於處理反應腔內之一或多個隔板正下方。圖10繪示對應於動作1112之一些實施例之一剖面圖900。
在一些實施例中,在1114,可藉由在處理反應腔內產生一溫度梯度而將來自蝕刻程序之副產物移動於一或多個隔板正下方。該溫度梯度自一或多個隔板之外部至一或多個隔板正下方降低。
在其他實施例中,在1116,可藉由在處理反應腔內產生一壓力梯度而將來自蝕刻程序之副產物移動於一或多個隔板正下方。該壓力梯度自一或多個隔板之外部至一或多個隔板正下方降低。
在1118,給處理反應腔通氣以將處理反應腔之一壓力自低壓(即,高真空)增加至一環境壓力。給處理反應腔通氣引起處理反應腔內之氣體變為紊流。然而,因為來自蝕刻程序之副產物已移動於一或多個隔板正下方,所以減輕藉由在通氣期間產生之紊流氣體將蝕刻程序之副產物後續再沉積至工件上。
將瞭解,所揭示離子束蝕刻設備及本文中所描述之相關聯程序可包括任何類型之乾式蝕刻設備,且在各項實施例中可經組態以執行反應性離子束蝕刻、濺鍍蝕刻/離子銑削、電漿蝕刻、滾筒蝕刻或類似者。
因此,在一些實施例中,本揭露係關於一種離子束蝕刻設備,其具有一副產物再分配器,該副產物再分配器經組態以將來自一蝕刻程序之一副產物移動至一或多個隔板正下方以便減少一蝕刻副產物再沉積至一工件上。
在一些實施例中,本揭露係關於一種離子束蝕刻設備。該蝕刻設備包含:一基板固持件,其放置於一處理反應腔內;一電漿源,其與該處理反應腔連通;一真空泵,其藉助於一入口耦合至該處理反應腔;一或多個隔板,其等配置於該基板固持件與該處理反應腔之一下表面之間;及一副產物再分配器,其經組態以將來自一蝕刻程序之一副產物自該一或多個隔板之外部移動至該一或多個隔板正下方。在一些實施例中,該一或多個隔板包含藉由在該基板固持件正下方之一空間分離之側壁。在一些實施例中,該一或多個隔板耦合至處理反應腔之一側壁。在一些實施例中,一或多個隔板包含一第一下表面及介於該第一下表面與處理反應腔之下表面之間之一第二下表面,該第二下表面沿著一或多個隔板之一最外邊緣配置。在一些實施例中,副產物再分配器包含:一加熱器,其配置於一或多個隔板之外部;及一冷卻器,其配置於一或多個隔板正下方,該加熱器及該冷卻器經組態以產生自一或多個隔板之外部至一或多個隔板正下方降低之一溫度梯度。在一些實施例中,該溫度梯度大於或等於約10°C。在一些實施例中,加熱器及冷卻器經配置於處理反應腔之下表面下方。在一些實施例中,副產物再分配器包含藉助於配置於一或多個隔板正下方之一或多個額外入口耦合至處理反應腔之一或多個額外真空泵,該一或多個額外真空泵經組態以產生自一或多個隔板之外部至一或多個隔板正下方降低之一壓力梯度。在一些實施例中,一或多個隔板包含圍繞處理反應腔之一周邊連續延伸之一隔板。
在其他實施例中,本揭露係關於一種蝕刻設備。該蝕刻設備包含:一基板固持件,其放置於一處理反應腔內且具有經組態以固持一工件之一工件接納區域;一真空泵,其藉助於一入口耦合至該處理反應腔;一或多個隔板,其等在該基板固持件與該處理反應腔之一下表面之間的垂直位置處自該處理反應腔之一側壁向外延伸;及一副產物再分配器,其經組態以將來自一蝕刻程序之一副產物移動至該一或多個隔板正下方。在一些實施例中,蝕刻設備進一步包含:一電漿源,其經組態以產生一電漿;及一網格系統,其經組態以使來自該電漿之離子作為一離子束加速朝向該基板固持件。在一些實施例中,副產物再分配器包含配置於一或多個隔板正下方之一冷卻器,該冷卻器經組態以產生自一或多個隔板之外部至一或多個隔板正下方降低之一溫度梯度。在一些實施例中,副產物再分配器進一步包含配置於一或多個隔板之外部之一加熱器。在一些實施例中,副產物再分配器包含藉助於配置於一或多個隔板正下方之一或多個額外入口耦合至處理反應腔之一或多個額外真空泵,該一或多個額外真空泵經組態以產生自一或多個隔板之外部至一或多個隔板正下方降低之一壓力梯度。在一些實施例中,一或多個隔板包含一第一下表面及介於該第一下表面與處理反應腔之下表面之間之一第二下表面;且該第二下表面係沿著一或多個隔板之一最外邊緣配置。在一些實施例中,一或多個隔板包含耦合至處理反應腔之側壁且作為一完整環圍繞處理反應腔之一周邊連續延伸之一單個隔板。
在又其他實施例中,本揭露係關於一種執行一蝕刻程序之方法。該方法包含:在與一處理反應腔連通之一電漿反應腔內產生一電漿;使來自該電漿之離子加速朝向該處理反應腔內之一工件以產生一離子束,該離子束執行蝕刻該工件上之一材料之一蝕刻程序;及將來自該蝕刻程序之一副產物移動至該處理反應腔內之一或多個隔板正下方。在一些實施例中,將來自該蝕刻程序之該副產物移動至一或多個隔板下方包含在處理反應腔內產生自一或多個隔板之外部之一第一溫度延伸至一或多個隔板正下方之較低第二溫度之一溫度梯度。在一些實施例中,將來自蝕刻程序之副產物移動至一或多個隔板下方包含在處理反應腔內產生自一或多個隔板之外部之一第一壓力延伸至一或多個隔板正下方之較低第二壓力之一壓力梯度。在一些實施例中,方法進一步包含:將一氣體引入至電漿反應腔中,該電漿係由電漿反應腔內之氣體產生;及在完成蝕刻程序時且在將來自蝕刻程序之副產物移動至一或多個隔板正下方之後給處理反應腔通氣。
前述內容概述若干實施例之特徵,使得熟習此項技術者可更佳理解本揭露之態樣。熟習此項技術者應瞭解,其等可容易使用本揭露作為用於設計或修改用於實行相同目的及/或達成本文中介紹之實施例之相同優點之其他程序及結構之一基礎。熟習此項技術者亦應意識到此等等效構造不脫離本揭露之精神及範疇,且其等可在本文中做出各種改變、替代及更改而不脫離本揭露之精神及範疇。
100‧‧‧離子束蝕刻設備102‧‧‧處理反應腔102l‧‧‧下表面102s‧‧‧側壁102u‧‧‧上表面104‧‧‧基板固持件106‧‧‧工件108‧‧‧電漿源110‧‧‧電漿112‧‧‧網格系統114‧‧‧離子束115‧‧‧蝕刻程序116‧‧‧真空泵118‧‧‧真空入口119‧‧‧第一閥120‧‧‧隔板120a‧‧‧第一下表面120b‧‧‧第二下表面122‧‧‧副產物再分配器124‧‧‧箭頭(副產物再分配)200‧‧‧離子束蝕刻設備202‧‧‧可旋轉載物台總成203‧‧‧安裝臂204‧‧‧接頭206‧‧‧反應腔門208‧‧‧電漿反應腔209‧‧‧氣體入口210‧‧‧氣體源211‧‧‧第二閥212‧‧‧RF天線214‧‧‧RF電源供應器216‧‧‧匹配網路218a‧‧‧冷卻器218b‧‧‧冷卻器220‧‧‧加熱器222‧‧‧控制單元300‧‧‧時序圖400‧‧‧離子束蝕刻設備402a‧‧‧真空泵402b‧‧‧真空泵404‧‧‧入口500‧‧‧俯視圖502‧‧‧單個隔板504‧‧‧副產物再分配器506‧‧‧第二下表面508‧‧‧俯視圖510‧‧‧隔板512‧‧‧第二下表面514‧‧‧副產物再分配器600‧‧‧剖面圖602‧‧‧隔板602a‧‧‧上表面602b‧‧‧第一垂直側壁602c‧‧‧第二垂直側壁602d‧‧‧第一下表面602e‧‧‧第二下表面604‧‧‧剖面圖606‧‧‧隔板606a‧‧‧上表面606b‧‧‧第一垂直側壁606c‧‧‧第一下表面606d‧‧‧第一傾斜表面606e‧‧‧第二傾斜表面606f‧‧‧第二下表面608‧‧‧剖面圖610‧‧‧隔板610a‧‧‧上表面610b‧‧‧第一垂直側壁610c‧‧‧第一彎曲表面610d‧‧‧第一下表面610e‧‧‧第二彎曲表面610f‧‧‧第二下表面612‧‧‧剖面圖614‧‧‧隔板614a‧‧‧上表面614b‧‧‧第一垂直側壁614c‧‧‧第二垂直側壁614d‧‧‧第一下表面614e‧‧‧第二下表面614f‧‧‧第三下表面616‧‧‧支撐結構700‧‧‧剖面圖800‧‧‧剖面圖900‧‧‧剖面圖1000‧‧‧剖面圖1100‧‧‧方法1102‧‧‧動作1104‧‧‧動作1106‧‧‧動作1108‧‧‧動作1110‧‧‧動作1112‧‧‧動作1114‧‧‧動作1116‧‧‧動作1118‧‧‧動作
當結合附圖閱讀時自以下詳細描述最佳理解本揭露之態樣。應注意,根據業界中之標準實踐,各種構件未按比例繪製。事實上,為了清楚論述起見,可任意增大或減小各種構件之尺寸。
圖1繪示具有經組態以減少一蝕刻副產物再沉積至一工件上之一或多個隔板之一所揭示離子束蝕刻設備之一些實施例的一方塊圖。
圖2繪示具有一或多個隔板之一所揭示離子束蝕刻設備之一些額外實施例的一方塊圖。
圖3繪示展示圖2之離子束蝕刻設備之操作之一時序圖之一些實施例。
圖4繪示具有一或多個隔板之一所揭示離子束蝕刻設備之一些額外實施例的一方塊圖。
圖5A至圖5B繪示具有一或多個隔板之一所揭示離子束蝕刻設備之一些實施例之俯視圖。
圖6A至圖6D繪示如本文中所提供之一或多個隔板之一些實施例之剖面圖。
圖7至圖10繪示執行一離子束蝕刻程序之一方法之一些實施例的剖面圖。
圖11繪示執行一離子束蝕刻程序之一方法之一些實施例的一流程圖。
100‧‧‧離子束蝕刻設備
102‧‧‧處理反應腔
1021‧‧‧下表面
102s‧‧‧側壁
102u‧‧‧上表面
104‧‧‧基板固持件
106‧‧‧工件
108‧‧‧電漿源
110‧‧‧電漿
112‧‧‧網格系統
114‧‧‧離子束
115‧‧‧蝕刻程序
116‧‧‧真空泵
118‧‧‧真空入口
119‧‧‧第一閥
120‧‧‧隔板
122‧‧‧副產物再分配器
124‧‧‧箭頭(副產物再分配)

Claims (20)

  1. 一種離子束蝕刻設備,其包括: 一基板固持件,其放置於一處理反應腔內; 一電漿源,其與該處理反應腔連通; 一真空泵,其藉助於一入口耦合至該處理反應腔; 一或多個隔板,其等配置於該基板固持件與該處理反應腔之一下表面之間;及 一副產物再分配器,其經組態以將來自一蝕刻程序之一副產物自該一或多個隔板之外部移動至該一或多個隔板正下方。
  2. 如請求項1之蝕刻設備,其中該一或多個隔板包括藉由在該基板固持件正下方之一空間分離之側壁。
  3. 如請求項1之蝕刻設備,其中該一或多個隔板耦合至該處理反應腔之一側壁。
  4. 如請求項1之蝕刻設備, 其中該一或多個隔板包括一第一下表面及介於該第一下表面與該處理反應腔之該下表面之間之一第二下表面;且 其中該第二下表面係沿著該一或多個隔板之一最外邊緣配置。
  5. 如請求項1之蝕刻設備,其中該副產物再分配器包括: 一加熱器,其配置於該一或多個隔板之外部;及 一冷卻器,其配置於該一或多個隔板正下方,其中該加熱器及該冷卻器經組態以產生自該一或多個隔板之外部至該一或多個隔板正下方降低之一溫度梯度。
  6. 如請求項5之蝕刻設備,其中該溫度梯度大於或等於約10°C。
  7. 如請求項6之蝕刻設備,其中該加熱器及該冷卻器經配置於該處理反應腔之該下表面下方。
  8. 如請求項1之蝕刻設備,其中該副產物再分配器包括: 一或多個額外真空泵,其等藉助於配置於該一或多個隔板正下方之一或多個額外入口而耦合至該處理反應腔,其中該一或多個額外真空泵經組態以產生自該一或多個隔板之外部至該一或多個隔板正下方降低之一壓力梯度。
  9. 如請求項1之蝕刻設備,其中該一或多個隔板包括圍繞該處理反應腔之一周邊連續延伸之一隔板。
  10. 一種蝕刻設備,其包括: 一基板固持件,其放置於一處理反應腔內且包括經組態以固持一工件之一工件接納區域; 一真空泵,其藉助於一入口耦合至該處理反應腔; 一或多個隔板,其等在該基板固持件與該處理反應腔之一下表面之間的垂直位置處自該處理反應腔之一側壁向外延伸;及 一副產物再分配器,其經組態以將來自一蝕刻程序之一副產物移動至該一或多個隔板正下方。
  11. 如請求項10之蝕刻設備,其進一步包括: 一電漿源,其經組態以產生一電漿;及 一網格系統,其經組態以使來自該電漿之離子作為一離子束加速朝向該基板固持件。
  12. 如請求項10之蝕刻設備,其中該副產物再分配器包括: 一冷卻器,其配置於該一或多個隔板正下方,其中該冷卻器經組態以產生自該一或多個隔板之外部至該一或多個隔板正下方降低之一溫度梯度。
  13. 如請求項12之蝕刻設備,其中該副產物再分配器進一步包括: 一加熱器,其配置於該一或多個隔板之外部。
  14. 如請求項10之蝕刻設備,其中該副產物再分配器包括: 一或多個額外真空泵,其等藉助於配置於該一或多個隔板正下方之一或多個額外入口耦合至該處理反應腔,其中該一或多個額外真空泵經組態以產生自該一或多個隔板之外部至該一或多個隔板正下方降低之一壓力梯度。
  15. 如請求項10之蝕刻設備, 其中該一或多個隔板包括一第一下表面及介於該第一下表面與該處理反應腔之該下表面之間之一第二下表面;且 其中該第二下表面係沿著該一或多個隔板之一最外邊緣配置。
  16. 如請求項10之蝕刻設備,其中該一或多個隔板包括耦合至該處理反應腔之該側壁且作為一完整環圍繞該處理反應腔之一周邊連續延伸之一單個隔板。
  17. 一種執行一蝕刻程序之方法,其包括: 在與一處理反應腔連通之一電漿反應腔內產生一電漿; 使來自該電漿之離子加速朝向該處理反應腔內之一工件以產生一離子束,其中該離子束執行蝕刻該工件上之一材料之一蝕刻程序;及 將來自該蝕刻程序之一副產物移動至該處理反應腔內之一或多個隔板正下方。
  18. 如請求項17之方法,其中將來自該蝕刻程序之該副產物移動至該一或多個隔板下方包括: 在該處理反應腔內產生自該一或多個隔板之外部之一第一溫度延伸至該一或多個隔板正下方之較低第二溫度之一溫度梯度。
  19. 如請求項17之方法,其中將來自該蝕刻程序之該副產物移動至該一或多個隔板下方包括: 在該處理反應腔內產生自該一或多個隔板之外部之一第一壓力延伸至該一或多個隔板正下方之較低第二壓力之一壓力梯度。
  20. 如請求項17之方法,其進一步包括: 將一氣體引入至該電漿反應腔中,其中該電漿係由該電漿反應腔內之該氣體產生;及 在完成該蝕刻程序時且在將來自該蝕刻程序之該副產物移動至該一或多個隔板正下方之後給該處理反應腔通氣。
TW107133914A 2018-05-29 2018-09-26 蝕刻設備及執行蝕刻程序之方法 TWI734033B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/991,029 US11239060B2 (en) 2018-05-29 2018-05-29 Ion beam etching chamber with etching by-product redistributor
US15/991,029 2018-05-29

Publications (2)

Publication Number Publication Date
TW202004826A true TW202004826A (zh) 2020-01-16
TWI734033B TWI734033B (zh) 2021-07-21

Family

ID=68693590

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107133914A TWI734033B (zh) 2018-05-29 2018-09-26 蝕刻設備及執行蝕刻程序之方法

Country Status (3)

Country Link
US (3) US11239060B2 (zh)
CN (1) CN110544612B (zh)
TW (1) TWI734033B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200072557A (ko) * 2017-12-27 2020-06-22 매슨 테크놀로지 인크 플라즈마 처리 장치 및 방법
CN113707528B (zh) * 2020-05-22 2023-03-31 江苏鲁汶仪器股份有限公司 一种离子源挡片、离子刻蚀机及其使用方法
CN112750738B (zh) * 2021-01-18 2024-02-23 中国电子科技集团公司第四十八研究所 一种离子束刻蚀设备及其刻蚀方法
US20230151484A1 (en) * 2021-11-16 2023-05-18 Taiwan Semiconductor Manufacturing Company Sacvd system and method for reducing obstructions therein
CN115491662B (zh) * 2022-09-29 2023-11-17 西实显示高新材料(沈阳)有限公司 Icp设备
CN116798844B (zh) * 2023-08-29 2023-11-10 江苏鹏举半导体设备技术有限公司 离子发生装置及粒子移除方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6110844A (en) * 1995-09-29 2000-08-29 Sandia Corporation Reduction of particle deposition on substrates using temperature gradient control
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
WO1999050886A1 (en) 1998-03-31 1999-10-07 Lam Research Corporation Contamination controlling method and plasma processing chamber
US6331227B1 (en) 1999-12-14 2001-12-18 Epion Corporation Enhanced etching/smoothing of dielectric surfaces
JP4039834B2 (ja) * 2001-09-28 2008-01-30 株式会社荏原製作所 エッチング方法及びエッチング装置
WO2004054325A1 (ja) * 2002-12-12 2004-06-24 Semiconductor Energy Laboratory Co., Ltd. 発光装置、製造装置、成膜方法、およびクリーニング方法
US7140374B2 (en) * 2003-03-14 2006-11-28 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
US8104428B2 (en) * 2006-03-23 2012-01-31 Tokyo Electron Limited Plasma processing apparatus
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
KR20090024522A (ko) * 2007-09-04 2009-03-09 주식회사 유진테크 기판처리장치
US20090178763A1 (en) 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
KR101091309B1 (ko) 2009-08-18 2011-12-07 주식회사 디엠에스 플라즈마 식각장치
JP2011243732A (ja) 2010-05-18 2011-12-01 Japan Steel Works Ltd:The プラズマ処理方法及びその装置
JP5649428B2 (ja) 2010-12-13 2015-01-07 キヤノンアネルバ株式会社 イオンビームエッチング方法、イオンビームエッチング装置、コンピュータプログラム、記録媒体
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US20130137273A1 (en) * 2011-11-28 2013-05-30 Infineon Technologies Ag Semiconductor Processing System
TWI449080B (zh) 2012-07-25 2014-08-11 Au Optronics Corp 電漿反應機台
US20140051253A1 (en) * 2012-08-14 2014-02-20 Lam Research Corporation Plasma baffle ring for a plasma processing apparatus and method of use
CN202830164U (zh) 2012-09-07 2013-03-27 中晟光电设备(上海)有限公司 一种防止气体反冲的装置以及金属有机化学气相沉积设备
CN105190862B (zh) 2013-03-06 2018-09-11 等离子瑟姆有限公司 用于对半导体晶圆进行等离子切片的方法和设备
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
JP2015162266A (ja) * 2014-02-26 2015-09-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
JP2016036018A (ja) * 2014-07-31 2016-03-17 東京エレクトロン株式会社 プラズマ処理装置及びガス供給部材
JP6362488B2 (ja) * 2014-09-09 2018-07-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR101670457B1 (ko) * 2014-11-28 2016-10-31 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치
CN106191809B (zh) 2015-02-16 2018-12-25 中微半导体设备(上海)有限公司 一种化学气相沉积装置及其清洁方法
CN106935530B (zh) 2015-12-31 2020-04-17 中微半导体设备(上海)股份有限公司 一种等离子体刻蚀光刻胶装置
KR20180081291A (ko) * 2017-01-06 2018-07-16 삼성전자주식회사 이온 빔을 이용한 기판 처리 방법 및 이를 수행하기 위한 장치
US20190148109A1 (en) * 2017-11-10 2019-05-16 Lam Research Corporation Method and Apparatus for Anisotropic Pattern Etching and Treatment

Also Published As

Publication number Publication date
US11239060B2 (en) 2022-02-01
US20190371574A1 (en) 2019-12-05
TWI734033B (zh) 2021-07-21
CN110544612A (zh) 2019-12-06
US20220148856A1 (en) 2022-05-12
US20230369024A1 (en) 2023-11-16
CN110544612B (zh) 2022-04-01

Similar Documents

Publication Publication Date Title
TWI734033B (zh) 蝕刻設備及執行蝕刻程序之方法
TWI771470B (zh) 具有電浮電源供應的基板支撐件
CN110998783B (zh) 具有双嵌入式电极的基板支撑件
CN111524850B (zh) 载置台和基片处理装置
CN105256276B (zh) 具有增强的离子化和rf 功率耦合的低电阻率钨pvd
KR102015697B1 (ko) 기판 처리 장치
US20090095714A1 (en) Method and system for low pressure plasma processing
US20170253972A1 (en) Substrate processing apparatus
JPH09326385A (ja) 基板冷却方法
TW201921580A (zh) 具有冷卻和傳導銷的基板支撐件
JPS63131520A (ja) ドライエツチング装置
JP5249328B2 (ja) 薄膜の成膜方法
US10515788B2 (en) Systems and methods for integrated resputtering in a physical vapor deposition chamber
WO2011002058A1 (ja) 薄膜の成膜方法
US20170004995A1 (en) Film Forming Apparatus and Film Forming Method
US7875555B2 (en) Method for plasma processing over wide pressure range
US7935393B2 (en) Method and system for improving sidewall coverage in a deposition system
JP4972327B2 (ja) プラズマ処理装置
US20210305501A1 (en) Additive patterning of semiconductor film stacks
TWI615897B (zh) 蝕刻有機膜之方法
JPH10303185A (ja) エッチング装置及びエッチング方法
JP2002043289A (ja) プラズマ処理方法及び装置
KR20150117227A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR20220092963A (ko) 기판을 프로세싱하기 위한 방법들 및 장치
JP5094288B2 (ja) プラズマ処理装置