CN110544612A - 改进的离子束蚀刻反应腔及执行蚀刻过程的方法 - Google Patents

改进的离子束蚀刻反应腔及执行蚀刻过程的方法 Download PDF

Info

Publication number
CN110544612A
CN110544612A CN201910117604.7A CN201910117604A CN110544612A CN 110544612 A CN110544612 A CN 110544612A CN 201910117604 A CN201910117604 A CN 201910117604A CN 110544612 A CN110544612 A CN 110544612A
Authority
CN
China
Prior art keywords
baffles
reaction chamber
ion beam
plasma
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910117604.7A
Other languages
English (en)
Other versions
CN110544612B (zh
Inventor
谢得贤
曾李全
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110544612A publication Critical patent/CN110544612A/zh
Application granted granted Critical
Publication of CN110544612B publication Critical patent/CN110544612B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/46Sputtering by ion beam produced by an external ion source
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5873Removal of material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2208/00Processes carried out in the presence of solid particles; Reactors therefor
    • B01J2208/00796Details of the reactor or of the particulate material
    • B01J2208/00938Flow distribution elements
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0869Feeding or evacuating the reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本申请涉及改进的离子束蚀刻反应腔及执行蚀刻过程的方法。在一些实施例中,本揭露涉及一种离子束蚀刻设备。所述离子束蚀刻设备包含:衬底固持件,其放置于处理反应腔内;及等离子体源,其与所述处理反应腔连通。真空泵借助于入口耦合到所述处理反应腔。一或多个隔板布置于所述衬底固持件与所述处理反应腔的下表面之间。副产物再分配器经配置以将来自蚀刻过程的副产物从所述一或多个隔板的外部移动到所述一或多个隔板正下方。

Description

改进的离子束蚀刻反应腔及执行蚀刻过程的方法
技术领域
本发明实施例涉及改进的离子束蚀刻反应腔及执行蚀刻过程的方法。
背景技术
离子束蚀刻(即,离子束铣削)是在集成式芯片的制造期间使用的常用蚀刻过程。离子束蚀刻是能够沿着特定方向优先去除材料的非等向性蚀刻过程。通过沿着特定方向去除材料,可形成高密度构件。
发明内容
本发明的一实施例涉及一种离子束蚀刻设备,其包括:衬底固持件,其放置于处理反应腔内;等离子体源,其与所述处理反应腔连通;真空泵,其借助于入口耦合到所述处理反应腔;一或多个隔板,其布置于所述衬底固持件与所述处理反应腔的下表面之间;及副产物再分配器,其经配置以将来自蚀刻过程的副产物从所述一或多个隔板的外部移动到所述一或多个隔板正下方。
本发明的一实施例涉及一种蚀刻设备,其包括:衬底固持件,其放置于处理反应腔内且包括经配置以固持工件的工件接纳区域;真空泵,其借助于入口耦合到所述处理反应腔;一或多个隔板,其在所述衬底固持件与所述处理反应腔的下表面之间的垂直位置处从所述处理反应腔的侧壁向外延伸;及副产物再分配器,其经配置以将来自蚀刻过程的副产物移动到所述一或多个隔板正下方。
本发明的一实施例涉及一种执行蚀刻过程的方法,其包括:在与处理反应腔连通的等离子体反应腔内产生等离子体;使来自所述等离子体的离子加速朝向所述处理反应腔内的工件以产生离子束,其中所述离子束执行蚀刻所述工件上的材料的蚀刻过程;及将来自所述蚀刻过程的副产物移动到所述处理反应腔内的一或多个隔板正下方。
附图说明
当结合附图阅读时根据以下详细描述最好地理解本揭露的方面。应注意,根据业界中的标准实践,各种构件未按比例绘制。事实上,为了清楚论述起见,可任意增大或减小各种构件的尺寸。
图1绘示具有经配置以减少蚀刻副产物再沉积到工件上的一或多个隔板的所公开离子束蚀刻设备的一些实施例的框图。
图2绘示具有一或多个隔板的所公开离子束蚀刻设备的一些额外实施例的框图。
图3绘示展示图2的离子束蚀刻设备的操作的时序图的一些实施例。
图4绘示具有一或多个隔板的所公开离子束蚀刻设备的一些额外实施例的框图。
图5A到5B绘示具有一或多个隔板的所公开离子束蚀刻设备的一些实施例的俯视图。
图6A到6D绘示如本文中所提供的一或多个隔板的一些实施例的剖面图。
图7到10绘示执行离子束蚀刻过程的方法的一些实施例的剖面图。
图11绘示执行离子束蚀刻过程的方法的一些实施例的流程图。
具体实施方式
以下揭露内容提供用于实施本揭露的不同特征的许多不同实施例或实例。下文描述组件及布置的特定实例以简化本揭露。当然,这些仅为实例且不旨在限制。举例来说,在下列描述中的第一构件形成于第二构件上方或上可包含其中所述第一构件及所述第二构件经形成直接接触的实施例,且也可包含其中额外构件可形成在所述第一构件与所述第二构件之间,使得所述第一构件及所述第二构件可不直接接触的实施例。另外,本揭露可在各种实例中重复元件符号及/或字母。这种重复出于简化及清楚的目的,且本身不指示所论述的各个实施例及/或配置之间的关系。
此外,为便于描述,可在本文中使用例如“在…下面”、“在…下方”、“下”、“在…上方”、“上”及类似者的空间相对术语来描述一个元件或构件与另一(些)元件或构件的关系,如图中所绘示。空间相对术语旨在涵盖除在图中描绘的定向以外的使用或操作中的装置的不同定向。设备可以其它方式经定向(旋转90度或按其它定向)且本文中使用的空间相对描述词同样可相应地解释。
离子束蚀刻为干式蚀刻过程,其通过用包括带电离子的离子束轰击工件上的材料而蚀刻所述材料。为执行离子束蚀刻,将工件装载到处理反应腔中且将所述处理反应腔抽气到高真空(即,低压)。在与所述处理反应腔连通的等离子体源内形成等离子体且接着通过用使来自所述等离子体的离子加速朝向所述工件的电场作用于所述等离子体而产生离子束。当带电离子以足够能量撞击材料时,其将去除所述材料的粒子,借此蚀刻所述材料。
通过离子束蚀刻过程从工件去除的粒子通常粘着到处理反应腔的内表面(例如,侧壁、底面及/或顶面)。然而,一些材料(例如陶瓷(例如,压电材料))并非良好地粘着到所述处理反应腔的所述内表面。已了解,当给处理反应腔通气(即,在完成蚀刻过程之后从低压返回到环境压力)时,处理反应腔内的气体可变为紊流且引起这些粒子变为空浮的。所述空浮粒子可再沉积到工件上,从而引起可靠性问题并降低工件上的集成式芯片的良率。例如,将导电副产物再沉积到集成式芯片工件上可导致电短路及集成式芯片故障。
在一些实施例中,本揭露涉及离子束蚀刻设备,其经配置以减少蚀刻副产物再沉积到工件上。所述离子束蚀刻设备包括放置于处理反应腔内且经配置以固持工件的衬底固持件。所述处理反应腔与经配置以提供作为离子束加速朝向所述衬底固持件的离子的等离子体源连通,且与经配置以降低所述处理反应腔内的压力的真空泵连通。一或多个隔板经布置于所述衬底固持件与所述处理反应腔的下表面之间。副产物再分配器经配置以将来自蚀刻过程的副产物从所述一或多个隔板的外部移动到所述一或多个隔板正下方。通过将来自所述蚀刻过程的所述副产物移动到所述一或多个隔板正下方,所述一或多个隔板能够减轻来自所述蚀刻过程的所述副产物再沉积回到所述工件上,借此减少所述工件上的缺陷并提高良率。
图1绘示具有经配置以减少蚀刻副产物再沉积到工件上的一或多个隔板的所公开离子束蚀刻设备100的一些实施例的框图。
离子束蚀刻设备100包括布置于处理反应腔102内的衬底固持件104。处理反应腔102具有外壳,所述外壳包括布置于上表面102u与下表面102l之间的侧壁102s。衬底固持件104经配置以固持待蚀刻的工件106(例如,包括半导体衬底)。处理反应腔102与经配置以产生等离子体110的等离子体源108连通。在各个实施例中,等离子体源108可包括电感耦合等离子体(ICP)源、直流等离子体(DCP)源、微波诱导等离子体(MIP)源或类似者。
网格系统112经布置于等离子体源108与衬底固持件104之间。网格系统112经配置以通过在处理反应腔102内产生电磁场而形成离子束114。所述电磁场使来自等离子体110的离子沿着衬底固持件104的方向加速到处理反应腔102中。离子束114经配置以轰击工件106的表面。以足够能量冲击工件106的表面的离子将通过从工件106去除粒子而执行蚀刻过程。所述去除的粒子朝向处理反应腔102的下表面102l掉落作为蚀刻过程的副产物。
处理反应腔102借助于真空入口118耦合到真空泵116。在一些实施例中,真空入口118可在处理反应腔102的上表面102u内。在其它实施例中,真空入口118可在处理反应腔102的其它表面(例如,侧壁102s)内。真空泵116经配置以在操作期间降低处理反应腔102内的压力。降低处理反应腔102内的压力容许形成离子束114及减少在蚀刻过程期间工件106的污染。在各个实施例中,真空泵116可包括粗抽泵及/或高真空泵。在一些实施例中,第一阀119可经配置以选择性地容许真空泵116对处理反应腔102抽气。
一或多个隔板120沿着处理反应腔102的周边布置。一或多个隔板120经布置于衬底固持件104下方的垂直位置处。在一些实施例中,一或多个隔板120经布置于衬底固持件104与处理反应腔102的下表面102l之间的垂直位置处。在一些实施例中,一或多个隔板120横向延伸于处理反应腔102的下表面102l的一部分上方且与处理反应腔102的下表面102l分离非零距离。在一些实施例中,一或多个隔板120包括通过在衬底固持件104正下方的空间分离的侧壁。在一些实施例中,一或多个隔板120可耦合到处理反应腔102的侧壁102s。在其它实施例中(未展示),一或多个隔板120可耦合到处理反应腔102的下表面102l。
副产物再分配器122经配置以将蚀刻过程115的副产物从一或多个隔板120的外部(即,非正下方)再分配(通过箭头124展示)到一或多个隔板120正下方。通过操作副产物再分配器122以将蚀刻过程115的副产物从一或多个隔板120的外部再分配到一或多个隔板120正下方,一或多个隔板120能够在给反应腔通气(即,在完成蚀刻过程之后从低压返回到一环境压力)时防止蚀刻过程115的副产物再沉积于工件106上,借此减少工件106上的缺陷并提高良率。
图2绘示具有一或多个隔板的所公开离子束蚀刻设备200的一些额外实施例的框图。
离子束蚀刻设备200包含包括外壳的处理反应腔102,所述外壳具有耦合于上表面与下表面102l之间的侧壁。在一些实施例中,所述外壳可包括金属,例如铝、铁或类似者。可旋转载物台组合件202经放置于处理反应腔102内。可旋转载物台组合件202包括具有经配置以接纳工件106的平坦表面的工件接纳区域。所述工件接纳区域通过经配置以围绕一或多个旋转轴旋转的接头204而耦合到安装臂203,以便控制离子束114能够撞击工件106的角度。在一些实施例中,可旋转载物台组合件202可经配置以旋转以便使离子束114能够以+/-90度之间的角度撞击工件106。在一些实施例中,可旋转载物台组合件202可耦合到反应腔门206,反应腔门206通过一或多个铰链而附接到处理反应腔102,所述一或多个铰链经配置以在将工件装载到处理反应腔102中期间使反应腔门206能够摆动打开。
等离子体源108经耦合到处理反应腔102。在一些实施例中,等离子体源108包括具有外壳的等离子体反应腔208。等离子体反应腔208借助于布置于等离子体反应腔208的内表面内的气体入口209而耦合到气体源210(例如,气罐)。在一些实施例中,第二阀211可经配置以选择性地容许气体源210将气体引入到等离子体反应腔208中。RF天线212与等离子体反应腔208通信。RF天线212耦合到经配置以产生RF信号的RF电力供应器214。在一些实施例中,RF电力供应器214可依设定RF频率(例如,13.56MHz)操作。在一些实施例中,RF电力供应器214可借助于匹配网络216而耦合到RF天线212,匹配网络216经配置以使RF电力供应器214的输出阻抗与通过RF天线212及等离子体负载(即,阻抗)建立的复阻抗匹配,借此使来自RF电力供应器214的电力有效地耦合到等离子体反应腔208内的等离子体110中。
在一些实施例中,RF天线212可包括包含导电线的导电线圈。在一个实施例中,所述导电线圈可缠绕于等离子体反应腔208的外部达多匝。在替代实施例中,导电线圈可包含于等离子体反应腔208的内部内。感应线圈经配置以产生电磁场,所述电磁场将来自RF电力供应器214的能量传递到等离子体反应腔208内的气体粒子以形成电感耦合等离子体。例如,RF电力供应器214可产生时间相依电流,所述时间相依电流在等离子体反应腔208内产生时变磁场,所述时变磁场引发使带电粒子加速到足以通过电离碰撞使等离子体反应腔208内的气体电离的能量的时变电场。
网格系统112经布置于等离子体源108与可旋转载物台组合件202之间。网格系统112经配置以使来自等离子体110的离子作为离子束114加速朝向可旋转载物台组合件202。在一些实施例中,网格系统112可包括多个网格。例如,网格系统112可包括第一网格、第二网格及第三网格。所述第一网格与等离子体110接触,且可偏压到正电压以定义射束电压或能量。所述第二网格可偏压到负电压以使来自等离子体110的离子加速成离子束114。所述第三网格通常接地且有助于减少离子束114的发散。在一些实施例中,网格系统112可包括一或多个网格,所述一或多个网格分别包括具有延伸通过其多个孔隙的导电材料(例如,金属)薄片。在其它实施例中,网格系统112可包括一或多个网格,所述一或多个网格分别包括延伸于外导电环上的不同点之间以界定多个孔隙的多个导电线或导电条。
一或多个隔板120沿着处理反应腔102的周边布置。在各个实施例中,一或多个隔板120可包括导电材料,例如金属(例如,铝、锡、铜或类似者)。在其它实施例中,一或多个隔板120可包括绝缘材料(例如,塑料、陶瓷或类似者)。一或多个隔板120经布置于可旋转载物台组合件202与处理反应腔102的下表面102l之间的垂直位置处。一或多个隔板120横向延伸于处理反应腔102的下表面102l的一部分上方且与处理反应腔102的下表面102l分离非零距离。在一些实施例中,一或多个隔板120可耦合到处理反应腔102的侧壁。
在一些实施例中,一或多个隔板120可包括第一下表面120a及介于第一下表面120a与处理反应腔102的下表面102l之间的第二下表面120b。第二下表面120b沿着一或多个隔板120的最外边缘布置。通过垂直延伸到第二下表面120b,一或多个隔板120能够更好地容纳蚀刻过程的副产物,所述副产物已通过副产物再分配器122移动到一或多个隔板120正下方。
在一些实施例中,副产物再分配器122包括一或多个冷却器218a到218b。一或多个冷却器218a到218b经布置于一或多个隔板120正下方且经配置以降低一或多个隔板120下方的温度。通过降低一或多个隔板120下方的温度,在处理反应腔102内形成温度梯度。所述温度梯度使温度从一或多个隔板120的外部(即,非正下方)到一或多个隔板120正下方降低。所述温度梯度经配置以通过增强蚀刻过程的副产物扩散到一或多个隔板120下方(例如,根据通过热扩散产生的热泳力)而将蚀刻过程的副产物移动到一或多个隔板120下方。在一些实施例中,温度梯度可大于或等于约10℃。这一温度梯度足以引起热泳力移动蚀刻过程的副产物。在一些额外实施例中,温度梯度可在约10℃与约50℃之间的范围中。
在一些实施例中,副产物再分配器122可进一步包括布置于一或多个隔板120的外部(即,非正下方)的加热器220。例如,加热器220可横向布置于一或多个隔板120之间(例如,在处理反应腔102的中心)。加热器220经配置以加热处理反应腔102的上覆区域,以便增加温度梯度及改进蚀刻过程的副产物的移动。
在各个实施例中,一或多个冷却器218a到218b可包括经配置以输送冷液体的管道、线圈(例如,通过蒸气压缩移动热)、热电热泵或类似者。在各个实施例中,加热器220可包括经配置以输送热液体的管道、线圈(例如,通过蒸气压缩去除热)、热电热泵、电阻加热器或类似者。在一些实施例中,一或多个冷却器218a到218b及/或加热器220可布置于处理反应腔102的下表面102l下方。在其它实施例中,一或多个冷却器218a到218b及/或加热器220可布置于处理反应腔102内。例如,在一些实施例中,一或多个冷却器218a到218b及/或加热器220可包括延伸到处理反应腔102中的管道,所述管道经配置以承载加热及/或冷却液体。
在一些实施例中,控制单元222可经配置以选择性地控制真空泵116、第一阀119、第二阀211、RF电力供应器214、网格系统112、一或多个冷却器218a到218b及/或加热器220的一或多者的操作。图3绘示展示图2的所公开离子束蚀刻设备200上的控制单元222的操作的一些实施例的时序图300。将了解,时序图300中所绘示的操作为所公开离子束蚀刻设备200的操作的一个非限制性实例且在其它实施例中操作可不同。此外,下文阐述的时序图300的描述中所包含的元件符号对应于图2,除非另有说明。
如时序图300中所展示,在第一时间T1,打开第一阀119且开启真空泵116以降低处理反应腔102内的压力。在各个实施例中,真空泵116可连续地保持开启,间歇地保持开启(即,开启及关闭),或在第一时间T1与后续第五时间T5之间保持关闭。在第二时间T2,打开第二阀211以将气体引入到等离子体反应腔208中。在第三时间T3,开启RF电力供应器214。开启RF电力供应器214引起等离子体110在等离子体反应腔208内形成。在第四时间T4,施加偏压电压到网格系统112以便使来自等离子体110的离子加速朝向可旋转载物台组合件202。在第四时间T4,还操作副产物再分配器122以将蚀刻过程(例如,图1的115)的副产物移动到一或多个隔板120下方。在第五时间T5,完成蚀刻过程。在蚀刻过程完成之后,关闭第二阀211,关闭RF电力供应器214,关闭网格系统112且关闭副产物再分配器122。在第六时间T6,关闭真空泵116且给处理反应腔102通气。给处理反应腔102通气使处理反应腔102内的压力增加回到环境压力(即,处理反应腔102外部的压力)。
图4绘示具有一或多个隔板的所公开离子束蚀刻设备400的一些额外实施例的框图。
离子束蚀刻设备400包括副产物再分配器122,副产物再分配器122具有借助于布置于一或多个隔板120正下方的一或多个额外入口404耦合到处理反应腔102的一或多个额外真空泵402a到402b。一或多个额外真空泵402a到402b经配置以产生从一或多个隔板120的外部到一或多个隔板120下方降低的压力梯度。所述压力梯度经配置以通过用一力作用于粒子上而将蚀刻过程的副产物从一或多个隔板120的外部移动到一或多个隔板120下方。在一些实施例中,压力梯度可大于或等于约101托。例如,在一些实施例中,一或多个隔板120外部的压力可为10-5托,而一或多个隔板120下方的压力可为10-6托。在其它实施例中,压力梯度可小于101托。
在一些实施例中,一或多个隔板120可包括移动挡板(未展示),所述移动挡板经配置以操作为使蚀刻过程的副产物保持于一或多个隔板120正下方的阀。例如,一或多个隔板120可包括耦合到延伸于一或多个隔板120下方的移动挡板的铰链。通过一或多个额外真空泵420a到420b产生的低压将打开所述移动挡板以便容许蚀刻过程的副产物移动到一或多个隔板120下方。如果移动挡板下方的压力增加,那么将引起阀关闭,借此将蚀刻过程的副产物截留于一或多个隔板120下方。
图5A到5B绘示具有一或多个隔板的所公开离子束蚀刻设备的一些实施例的俯视图500及508。
如图5A的俯视图500中所展示,单个隔板502沿着处理反应腔102的外周边布置。单个隔板502在副产物再分配器504上方以完整环形围绕所述外周边连续延伸。在一些实施例中,单个隔板502可包括作为完整环沿着单个隔板502的边缘延伸的第二下表面506。
在一些实施例中,副产物再分配器504可包括作为完整环连续地延伸于单个隔板502下方的冷却器。在其它实施例中(未展示),副产物再分配器可包括可定位于单个隔板502下方的分离及离散位置处的多个冷却器。在一些替代实施例中,副产物再分配器504可包括耦合到真空泵的入口,所述入口作为完整环连续地延伸于单个隔板502下方。在其它实施例中(未展示),副产物再分配器可包括定位于单个隔板502下方的分离及离散位置处且耦合到一或多个真空泵的多个冷却入口。
如图5B的俯视图508中所展示,多个隔板510沿着处理反应腔102的外周边布置于离散位置处。多个隔板510彼此分离达非零空间。在一些实施例中,多个隔板510分别包括连续地延伸于处理反应腔102的侧壁102s上的不同位置之间的第二下表面512。
在一些实施例中,副产物再分配器514可包括分别放置于多个隔板510中的一者下方的多个冷却器。在一些替代实施例中,副产物再分配器514可包括分别布置于多个隔板510中的一者下方且耦合到真空泵的多个入口。
在各个实施例中,所公开隔板可具有不同形状。图6A到6D绘示本文中所公开的隔板的一些实施例的剖面图。将了解,图6A到6D中所绘示的所述隔板的形状为隔板的形状的非限制性实例且在一些额外实施例中,所公开隔板可具有其它形状。
图6A绘示所公开隔板602的一些实施例的剖面图600。所公开隔板602包括具有平行于处理反应腔102的下表面102l的上表面602a的“L”形结构。上表面602a耦合到垂直于处理反应腔102的下表面102l的第一垂直侧壁602b及第二垂直侧壁602c。第一垂直侧壁602b接触处理反应腔102的侧壁102s且耦合到第一下表面602d。第二垂直侧壁602c耦合到第一下表面602d与处理反应腔102的下表面102l之间的第二下表面602e。
图6B绘示所公开隔板606的一些替代实施例的剖面图604。所公开隔板606包括平行于处理反应腔102的下表面102l的上表面606a。上表面606a耦合到接触处理反应腔102的侧壁102s的第一垂直侧壁606b。第一垂直侧壁606b进一步耦合到第一下表面606c,第一下表面606c进一步耦合到第一倾斜表面606d。上表面606a进一步耦合到第二倾斜表面606e,第二倾斜表面606e耦合到第一倾斜表面606d与第二倾斜表面606e之间的第二下表面606f。
图6C绘示所公开隔板610的一些替代实施例的剖面图608。所公开隔板610包括耦合到接触处理反应腔102的侧壁102s的第一垂直侧壁610b的上表面610a。第一垂直侧壁610b进一步耦合到第一下表面610d。上表面610a借助于第一弯曲表面610c耦合到第二下表面610f。第一下表面610d借助于第二弯曲表面610e耦合到第二下表面610f。第二下表面610f介于第一下表面610d与处理反应腔102的下表面102l之间。
图6D绘示所公开隔板614的一些实施例的剖面图612。所公开隔板614包括平行于处理反应腔102的下表面102l的上表面614a。上表面614a耦合到垂直于处理反应腔102的下表面102l的第一垂直侧壁614b及第二垂直侧壁614c。第一垂直侧壁614b与处理反应腔102的侧壁102s分离且耦合到第一下表面614d。第二垂直侧壁614c与处理反应腔102的侧壁102s分离且耦合到第二下表面614e。第三下表面614f横向布置于第一下表面614d与第二下表面614e之间。第三下表面614f耦合到将第三下表面614f连接到处理反应腔102的下表面102l的支撑结构616。
图7到10绘示执行离子束蚀刻过程的方法的一些实施例的剖面图700到1000。尽管图7到10中所展示的剖面图700到1000是参考一方法而描述的,但将了解,图7到10中所展示的结构并不限于所述方法而是可独立于所述方法。
如图7的剖面图700中所展示,工件106经装载到处理反应腔102内的衬底固持件104上。在一些实施例中,工件106可包括半导体衬底。在各个实施例中,所述半导体衬底可包括任何类型的半导体主体(例如,硅/CMOS块体、SiGe、SOI等),例如半导体晶片或晶片上的一或多个裸片,以及任何其它类型的半导体及/或形成于其上及/或以其它方式与其相关联的外延层。在一些实施例中,工件106可包括待蚀刻的经暴露陶瓷材料(例如,压电材料)。
如图8的剖面图800中所展示,处理反应腔102经抽气以维持处理反应腔102内的相对较低压力。例如,可将处理反应腔102抽气到在约10-5托与约10-6托之间的范围中的压力。在一些实施例中,可通过操作真空泵116以降低处理反应腔102的压力而对处理反应腔102抽气。
在对处理反应腔102抽气之后,在耦合到处理反应腔102的等离子体反应腔208内产生等离子体110。在一些实施例中,可通过将气体(例如,氩气)从气体源210引入到等离子体反应腔208中而产生等离子体110。随后操作RF电力供应器214以施加RF信号到与等离子体反应腔208通信的RF天线212。所述RF信号经配置以产生电磁场,所述电磁场将能量传递到等离子体反应腔208内的气体的粒子以形成电感耦合等离子体(ICP)。在一些实施例中,将气体从气体源210引入到等离子体反应腔208中可在气体流动时将处理反应腔102的压力增加到约10-4托的压力。
如图9的剖面图900中所展示,使来自等离子体110的离子在处理反应腔102内作为经配置以对工件106执行蚀刻过程的离子束114加速朝向工件106。在一些实施例中,通过施加一或多个偏压电压到放置于等离子体110与工件106之间的网格系统112而使来自等离子体的离子加速。所述一或多个偏压电压产生用以使等离子体110内的离子加速的电磁场。所述经加速的离子穿过网格系统112内的孔隙以形成离子束114。
离子束114撞击工件106。具有足够能量的离子从工件106去除原子,以便蚀刻工件106。蚀刻过程115的副产物掉落到一或多个隔板120之间处理反应腔102的下表面102l。
如图10的剖面图1000中所展示,来自蚀刻过程115的副产物从一或多个隔板120的外部(即,非正下方)移动到一或多个隔板120正下方。在各个实施例中,在蚀刻过程的同时及/或在完成蚀刻过程之后,来自蚀刻过程115的副产物可移动到一或多个隔板120正下方。
在一些实施例中,可通过产生从一或多个隔板120的外部(即,非正下方)到一或多个隔板120正下方降低的温度梯度而移动蚀刻过程115的副产物。所述温度梯度经配置以通过增强蚀刻过程的副产物扩散到一或多个隔板下方而将蚀刻过程的副产物移动到一或多个隔板120下方。在其它实施例中,可通过产生从一或多个隔板120的外部到一或多个隔板120下方降低的压力梯度而移动蚀刻过程115的副产物。
图11绘示形成具有嵌入式快闪存储器装置(其具有增强型浮动闸)的集成式芯片的方法1100的一些实施例的流程图。
虽然方法1100在下文绘示及描述为一系列动作或事件,但将了解,这些动作或事件的所绘示顺序不应被解释为限制意义。例如,一些动作可按不同顺序发生及/或与除本文中所绘示及/或描述的动作或事件之外的其它动作或事件同时发生。另外,无需所有经绘示动作来实施本文中的描述的一或多个方面或实施例。此外,本文中所描绘的动作的一或多者可在一或多个单独动作及/或阶段中执行。
在1102,将工件装载到处理反应腔中。图7绘示对应于动作1102的一些实施例的剖面图700。
在1104,对处理反应腔抽气以将处理反应腔内的压力从环境压力降低到低压(即,高真空)。图8绘示对应于动作1104的一些实施例的剖面图800。
在1106,将气体引入到与处理反应腔连通的等离子体反应腔中。图8绘示对应于动作1106的一些实施例的剖面图800。
在1108,在等离子体反应腔内由所述气体产生等离子体。图8绘示对应于动作1108的一些实施例的剖面图800。
在1110,使来自所述等离子体的离子加速朝向处理反应腔内的工件以便蚀刻处理反应腔内的所述工件。图9绘示对应于动作1110的一些实施例的剖面图900。
在1112,将来自蚀刻过程的副产物移动于处理反应腔内的一或多个隔板正下方。图10绘示对应于动作1112的一些实施例的剖面图900。
在一些实施例中,在1114,可通过在处理反应腔内产生温度梯度而将来自蚀刻过程的副产物移动于一或多个隔板正下方。所述温度梯度从一或多个隔板的外部到一或多个隔板正下方降低。
在其它实施例中,在1116,可通过在处理反应腔内产生压力梯度而将来自蚀刻过程的副产物移动于一或多个隔板正下方。所述压力梯度从一或多个隔板的外部到一或多个隔板正下方降低。
在1118,给处理反应腔通气以将处反应腔的一压力从低压(即,高真空)增加到环境压力。给处理反应腔通气引起处理反应腔内的气体变为紊流。然而,因为来自蚀刻过程的副产物已移动于一或多个隔板正下方,所以减轻通过在通气期间产生的紊流气体将蚀刻过程的副产物后续再沉积到工件上。
将了解,所公开离子束蚀刻设备及本文中所描述的相关联过程可包括任何类型的干式蚀刻设备,且在各个实施例中可经配置以执行反应性离子束蚀刻、溅镀蚀刻/离子铣削、等离子体蚀刻、滚筒蚀刻或类似者。
因此,在一些实施例中,本揭露涉及一种离子束蚀刻设备,其具有副产物再分配器,所述副产物再分配器经配置以将来自蚀刻过程的副产物移动到一或多个隔板正下方以便减少蚀刻副产物再沉积到工件上。
在一些实施例中,本揭露涉及一种离子束蚀刻设备。所述蚀刻设备包含:衬底固持件,其放置于处理反应腔内;等离子体源,其与所述处理反应腔连通;真空泵,其借助于入口耦合到所述处理反应腔;一或多个隔板,其布置于所述衬底固持件与所述处理反应腔的下表面之间;及副产物再分配器,其经配置以将来自蚀刻过程的副产物从所述一或多个隔板的外部移动到所述一或多个隔板正下方。在一些实施例中,所述一或多个隔板包含通过在所述衬底固持件正下方的空间分离的侧壁。在一些实施例中,所述一或多个隔板耦合到处理反应腔的侧壁。在一些实施例中,一或多个隔板包含第一下表面及介于所述第一下表面与处理反应腔的下表面之间的第二下表面,所述第二下表面沿着一或多个隔板的最外边缘布置。在一些实施例中,副产物再分配器包含:加热器,其布置于一或多个隔板的外部;及冷却器,其布置于一或多个隔板正下方,所述加热器及所述冷却器经配置以产生从一或多个隔板的外部到一或多个隔板正下方降低的温度梯度。在一些实施例中,所述温度梯度大于或等于约10℃。在一些实施例中,加热器及冷却器经布置于处理反应腔的下表面下方。在一些实施例中,副产物再分配器包含借助于布置于一或多个隔板正下方的一或多个额外入口耦合到处理反应腔的一或多个额外真空泵,所述一或多个额外真空泵经配置以产生从一或多个隔板的外部到一或多个隔板正下方降低的压力梯度。在一些实施例中,一或多个隔板包含围绕处理反应腔的周边连续延伸的隔板。
在其它实施例中,本揭露涉及一种蚀刻设备。所述蚀刻设备包含:衬底固持件,其放置于处理反应腔内且具有经配置以固持工件的工件接纳区域;真空泵,其借助于入口耦合到所述处理反应腔;一或多个隔板,其在所述衬底固持件与所述处理反应腔的下表面之间的垂直位置处从所述处理反应腔的侧壁向外延伸;及副产物再分配器,其经配置以将来自蚀刻过程的副产物移动到所述一或多个隔板正下方。在一些实施例中,蚀刻设备进一步包含:等离子体源,其经配置以产生等离子体;及网格系统,其经配置以使来自所述等离子体的离子作为离子束加速朝向所述衬底固持件。在一些实施例中,副产物再分配器包含布置于一或多个隔板正下方的冷却器,所述冷却器经配置以产生从一或多个隔板的外部到一或多个隔板正下方降低的温度梯度。在一些实施例中,副产物再分配器进一步包含布置于一或多个隔板的外部的加热器。在一些实施例中,副产物再分配器包含借助于布置于一或多个隔板正下方的一或多个额外入口耦合到处理反应腔的一或多个额外真空泵,所述一或多个额外真空泵经配置以产生从一或多个隔板的外部到一或多个隔板正下方降低的压力梯度。在一些实施例中,一或多个隔板包含第一下表面及介于所述第一下表面与处理反应腔的下表面之间的第二下表面;且所述第二下表面沿着一或多个隔板的最外边缘布置。在一些实施例中,一或多个隔板包含耦合到处理反应腔的侧壁且作为完整环围绕处理反应腔的周边连续延伸的单个隔板。
在其它实施例中,本揭露涉及一种执行蚀刻过程的方法。所述方法包含:在与处理反应腔连通的等离子体反应腔内产生等离子体;使来自所述等离子体的离子加速朝向所述处理反应腔内的工件以产生离子束,所述离子束执行蚀刻所述工件上的材料的蚀刻过程;及将来自所述蚀刻过程的副产物移动到所述处理反应腔内的一或多个隔板正下方。在一些实施例中,将来自所述蚀刻过程的所述副产物移动到一或多个隔板下方包含在处理反应腔内产生从一或多个隔板的外部的第一温度延伸到一或多个隔板正下方的较低第二温度的温度梯度。在一些实施例中,将来自蚀刻过程的副产物移动到一或多个隔板下方包含在处理反应腔内产生从一或多个隔板的外部的第一压力延伸到一或多个隔板正下方的较低第二压力的压力梯度。在一些实施例中,方法进一步包含:将气体引入到等离子体反应腔中,所述等离子体由等离子体反应腔内的气体产生;及在完成蚀刻过程时且在将来自蚀刻过程的副产物移动到一或多个隔板正下方之后给处理反应腔通气。
前述内容概述若干实施例的特征,使得本领域技术人员可更好地理解本揭露的方面。本领域技术人员应了解,其可容易使用本揭露作为用于设计或修改用于实行相同目的及/或达成本文中介绍的实施例的相同优点的其它过程及结构的基础。本领域技术人员还应意识到这些等效构造不脱离本揭露的精神及范围,且其可在本文中做出各种改变、替代及更改而不脱离本揭露的精神及范围。
符号说明
100 离子束蚀刻设备
102 处理反应腔
102l 下表面
102s 侧壁
102u 上表面
104 衬底固持件
106 工件
108 等离子体源
110 等离子体
112 网格系统
114 离子束
115 蚀刻过程
116 真空泵
118 真空入口
119 第一阀
120 隔板
120a 第一下表面
120b 第二下表面
122 副产物再分配器
124 箭头(副产物再分配)
200 离子束蚀刻设备
202 可旋转载物台组合件
203 安装臂
204 接头
206 反应腔门
208 等离子体反应腔
209 气体入口
210 气体源
211 第二阀
212 RF天线
214 RF电力供应器
216 匹配网络
218a 冷却器
218b 冷却器
220 加热器
222 控制单元
300 时序图
400 离子束蚀刻设备
402a 真空泵
402b 真空泵
404 入口
500 俯视图
502 单个隔板
504 副产物再分配器
506 第二下表面
508 俯视图
510 隔板
512 第二下表面
514 副产物再分配器
600 剖面图
602 隔板
602a 上表面
602b 第一垂直侧壁
602c 第二垂直侧壁
602d 第一下表面
602e 第二下表面
604 剖面图
606 隔板
606a 上表面
606b 第一垂直侧壁
606c 第一下表面
606d 第一倾斜表面
606e 第二倾斜表面
606f 第二下表面
608 剖面图
610 隔板
610a 上表面
610b 第一垂直侧壁
610c 第一弯曲表面
610d 第一下表面
610e 第二弯曲表面
610f 第二下表面
612 剖面图
614 隔板
614a 上表面
614b 第一垂直侧壁
614c 第二垂直侧壁
614d 第一下表面
614e 第二下表面
614f 第三下表面
616 支撑结构
700 剖面图
800 剖面图
900 剖面图
1000 剖面图
1100 方法
1102 动作
1104 动作
1106 动作
1108 动作
1110 动作
1112 动作
1114 动作
1116 动作
1118 动作

Claims (10)

1.一种离子束蚀刻设备,其包括:
衬底固持件,其放置于处理反应腔内;
等离子体源,其与所述处理反应腔连通;
真空泵,其借助于入口耦合到所述处理反应腔;
一或多个隔板,其布置于所述衬底固持件与所述处理反应腔的下表面之间;及
副产物再分配器,其经配置以将来自蚀刻过程的副产物从所述一或多个隔板的外部移动到所述一或多个隔板正下方。
2.根据权利要求1所述的蚀刻设备,其中所述一或多个隔板包括通过在所述衬底固持件正下方的空间分离的侧壁。
3.根据权利要求1所述的蚀刻设备,其中所述一或多个隔板耦合到所述处理反应腔的侧壁。
4.根据权利要求1所述的蚀刻设备,
其中所述一或多个隔板包括第一下表面及介于所述第一下表面与所述处理反应腔的所述下表面之间的第二下表面;且
其中所述第二下表面沿着所述一或多个隔板的最外边缘布置。
5.根据权利要求1所述的蚀刻设备,其中所述副产物再分配器包括:
加热器,其布置于所述一或多个隔板的外部;及
冷却器,其布置于所述一或多个隔板正下方,其中所述加热器及所述冷却器经配置以产生从所述一或多个隔板的外部到所述一或多个隔板正下方降低的温度梯度。
6.根据权利要求1所述的蚀刻设备,其中所述副产物再分配器包括:
一或多个额外真空泵,其借助于布置于所述一或多个隔板正下方的一或多个额外入口而耦合到所述处理反应腔,其中所述一或多个额外真空泵经配置以产生从所述一或多个隔板的外部到所述一或多个隔板正下方降低的压力梯度。
7.一种蚀刻设备,其包括:
衬底固持件,其放置于处理反应腔内且包括经配置以固持工件的工件接纳区域;
真空泵,其借助于入口耦合到所述处理反应腔;
一或多个隔板,其在所述衬底固持件与所述处理反应腔的下表面之间的垂直位置处从所述处理反应腔的侧壁向外延伸;及
副产物再分配器,其经配置以将来自蚀刻过程的副产物移动到所述一或多个隔板正下方。
8.根据权利要求7所述的蚀刻设备,其进一步包括:
等离子体源,其经配置以产生等离子体;及
网格系统,其经配置以使来自所述等离子体的离子作为离子束加速朝向所述衬底固持件。
9.根据权利要求7所述的蚀刻设备,其中所述一或多个隔板包括耦合到所述处理反应腔的所述侧壁且作为完整环围绕所述处理反应腔的周边连续延伸的单个隔板。
10.一种执行蚀刻过程的方法,其包括:
在与处理反应腔连通的等离子体反应腔内产生等离子体;
使来自所述等离子体的离子加速朝向所述处理反应腔内的工件以产生离子束,其中所述离子束执行蚀刻所述工件上的材料的蚀刻过程;及
将来自所述蚀刻过程的副产物移动到所述处理反应腔内的一或多个隔板正下方。
CN201910117604.7A 2018-05-29 2019-02-15 改进的离子束蚀刻反应腔及执行蚀刻过程的方法 Active CN110544612B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/991,029 US11239060B2 (en) 2018-05-29 2018-05-29 Ion beam etching chamber with etching by-product redistributor
US15/991,029 2018-05-29

Publications (2)

Publication Number Publication Date
CN110544612A true CN110544612A (zh) 2019-12-06
CN110544612B CN110544612B (zh) 2022-04-01

Family

ID=68693590

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910117604.7A Active CN110544612B (zh) 2018-05-29 2019-02-15 改进的离子束蚀刻反应腔及执行蚀刻过程的方法

Country Status (3)

Country Link
US (3) US11239060B2 (zh)
CN (1) CN110544612B (zh)
TW (1) TWI734033B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116798844A (zh) * 2023-08-29 2023-09-22 江苏鹏举半导体设备技术有限公司 离子发生装置及粒子移除方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200072557A (ko) * 2017-12-27 2020-06-22 매슨 테크놀로지 인크 플라즈마 처리 장치 및 방법
CN113707528B (zh) * 2020-05-22 2023-03-31 江苏鲁汶仪器股份有限公司 一种离子源挡片、离子刻蚀机及其使用方法
CN112750738B (zh) * 2021-01-18 2024-02-23 中国电子科技集团公司第四十八研究所 一种离子束刻蚀设备及其刻蚀方法
US20230151484A1 (en) * 2021-11-16 2023-05-18 Taiwan Semiconductor Manufacturing Company Sacvd system and method for reducing obstructions therein
CN115491662B (zh) * 2022-09-29 2023-11-17 西实显示高新材料(沈阳)有限公司 Icp设备

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69934000D1 (de) * 1998-03-31 2006-12-28 Lam Res Corp Plasma-bearbeitungs-kammer und verfahren zur kontrolle von verunreinigungen
US20070234960A1 (en) * 2006-03-23 2007-10-11 Tokyo Electron Limited Plasma processing apparatus
CN101421056A (zh) * 2004-03-16 2009-04-29 兰姆研究有限公司 自清洁干式蚀刻用的系统、方法与设备
US20090178763A1 (en) * 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
CN101996842A (zh) * 2009-08-18 2011-03-30 显示器生产服务株式会社 等离子蚀刻装置
JP2011243732A (ja) * 2010-05-18 2011-12-01 Japan Steel Works Ltd:The プラズマ処理方法及びその装置
CN102856151A (zh) * 2012-07-25 2013-01-02 友达光电股份有限公司 等离子体反应机台
CN202830164U (zh) * 2012-09-07 2013-03-27 中晟光电设备(上海)有限公司 一种防止气体反冲的装置以及金属有机化学气相沉积设备
CN103594316A (zh) * 2012-08-14 2014-02-19 朗姆研究公司 用于等离子体处理装置的等离子体挡环及其使用的方法
US20150243486A1 (en) * 2014-02-26 2015-08-27 Hitachi High-Technologies Corporation Plasma processing apparatus
CN105655222A (zh) * 2014-11-28 2016-06-08 细美事有限公司 支撑单元和包括其的基板处理装置
US20160189925A1 (en) * 2010-12-13 2016-06-30 Canon Anelva Corporation Apparatus and method for processing substrate using ion beam
CN106191809A (zh) * 2015-02-16 2016-12-07 中微半导体设备(上海)有限公司 一种化学气相沉积装置及其清洁方法
CN106935530A (zh) * 2015-12-31 2017-07-07 中微半导体设备(上海)有限公司 一种等离子体刻蚀光刻胶装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6110844A (en) * 1995-09-29 2000-08-29 Sandia Corporation Reduction of particle deposition on substrates using temperature gradient control
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6331227B1 (en) 1999-12-14 2001-12-18 Epion Corporation Enhanced etching/smoothing of dielectric surfaces
JP4039834B2 (ja) * 2001-09-28 2008-01-30 株式会社荏原製作所 エッチング方法及びエッチング装置
WO2004054325A1 (ja) * 2002-12-12 2004-06-24 Semiconductor Energy Laboratory Co., Ltd. 発光装置、製造装置、成膜方法、およびクリーニング方法
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
KR20090024522A (ko) * 2007-09-04 2009-03-09 주식회사 유진테크 기판처리장치
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US20130137273A1 (en) * 2011-11-28 2013-05-30 Infineon Technologies Ag Semiconductor Processing System
CN105190862B (zh) 2013-03-06 2018-09-11 等离子瑟姆有限公司 用于对半导体晶圆进行等离子切片的方法和设备
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
JP2016036018A (ja) * 2014-07-31 2016-03-17 東京エレクトロン株式会社 プラズマ処理装置及びガス供給部材
JP6362488B2 (ja) * 2014-09-09 2018-07-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20180081291A (ko) * 2017-01-06 2018-07-16 삼성전자주식회사 이온 빔을 이용한 기판 처리 방법 및 이를 수행하기 위한 장치
US20190148109A1 (en) * 2017-11-10 2019-05-16 Lam Research Corporation Method and Apparatus for Anisotropic Pattern Etching and Treatment

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69934000D1 (de) * 1998-03-31 2006-12-28 Lam Res Corp Plasma-bearbeitungs-kammer und verfahren zur kontrolle von verunreinigungen
CN101421056A (zh) * 2004-03-16 2009-04-29 兰姆研究有限公司 自清洁干式蚀刻用的系统、方法与设备
US20070234960A1 (en) * 2006-03-23 2007-10-11 Tokyo Electron Limited Plasma processing apparatus
US20130327480A1 (en) * 2008-01-10 2013-12-12 Applied Materials, Inc. Showerhead insulator and etch chamber liner
US20090178763A1 (en) * 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
CN101996842A (zh) * 2009-08-18 2011-03-30 显示器生产服务株式会社 等离子蚀刻装置
JP2011243732A (ja) * 2010-05-18 2011-12-01 Japan Steel Works Ltd:The プラズマ処理方法及びその装置
US20160189925A1 (en) * 2010-12-13 2016-06-30 Canon Anelva Corporation Apparatus and method for processing substrate using ion beam
CN102856151A (zh) * 2012-07-25 2013-01-02 友达光电股份有限公司 等离子体反应机台
CN103594316A (zh) * 2012-08-14 2014-02-19 朗姆研究公司 用于等离子体处理装置的等离子体挡环及其使用的方法
TW201423826A (zh) * 2012-08-14 2014-06-16 Lam Res Corp 電漿處理設備用電漿擋環及其使用方法
CN202830164U (zh) * 2012-09-07 2013-03-27 中晟光电设备(上海)有限公司 一种防止气体反冲的装置以及金属有机化学气相沉积设备
US20150243486A1 (en) * 2014-02-26 2015-08-27 Hitachi High-Technologies Corporation Plasma processing apparatus
CN105655222A (zh) * 2014-11-28 2016-06-08 细美事有限公司 支撑单元和包括其的基板处理装置
CN106191809A (zh) * 2015-02-16 2016-12-07 中微半导体设备(上海)有限公司 一种化学气相沉积装置及其清洁方法
CN106935530A (zh) * 2015-12-31 2017-07-07 中微半导体设备(上海)有限公司 一种等离子体刻蚀光刻胶装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116798844A (zh) * 2023-08-29 2023-09-22 江苏鹏举半导体设备技术有限公司 离子发生装置及粒子移除方法
CN116798844B (zh) * 2023-08-29 2023-11-10 江苏鹏举半导体设备技术有限公司 离子发生装置及粒子移除方法

Also Published As

Publication number Publication date
US11239060B2 (en) 2022-02-01
US20190371574A1 (en) 2019-12-05
TW202004826A (zh) 2020-01-16
TWI734033B (zh) 2021-07-21
US20220148856A1 (en) 2022-05-12
US20230369024A1 (en) 2023-11-16
CN110544612B (zh) 2022-04-01

Similar Documents

Publication Publication Date Title
CN110544612B (zh) 改进的离子束蚀刻反应腔及执行蚀刻过程的方法
JP4548560B2 (ja) フォーカスリングおよびそのための方法
JP2018523922A (ja) 方向性プラズマ及び反応性ガスを用いて基板を処理する装置及び技術
JPH03218627A (ja) プラズマエッチング方法及び装置
JP2002093776A (ja) Si高速エッチング方法
CN106548918A (zh) 一种射频和直流混合驱动的磁化容性耦合等离子体源
JP4099181B2 (ja) イオンビームエッチング方法及びイオンビームエッチング装置
US20170125261A1 (en) Method of etching transition metal film and substrate processing apparatus
CN109585247B (zh) 加工腔室的清洁方法及等离子体加工装置
US7935393B2 (en) Method and system for improving sidewall coverage in a deposition system
US6504159B1 (en) SOI plasma source ion implantation
JP3629705B2 (ja) プラズマ処理装置
CN105586566B (zh) 一种反应腔室及半导体加工设备
JP7101096B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP2002100607A (ja) 酸化膜エッチング方法
US20210305501A1 (en) Additive patterning of semiconductor film stacks
JPH07254500A (ja) プラズマ処理装置
US20220254649A1 (en) Atomic layer etch and ion beam etch patterning
CN101597789A (zh) 静电夹持装置及应用该静电夹持装置的半导体处理设备
JP2002043289A (ja) プラズマ処理方法及び装置
KR100621698B1 (ko) 유도결합 플라즈마 처리장치
KR100655217B1 (ko) 고주파 플라즈마 챔버의 세정 방법
JP2000133498A (ja) プラズマ処理装置
US20040112543A1 (en) Plasma reactor with high selectivity and reduced damage
KR100581858B1 (ko) 유도결합형 플라즈마 처리장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant