TW202002126A - 邊緣去除控制 - Google Patents

邊緣去除控制 Download PDF

Info

Publication number
TW202002126A
TW202002126A TW108113695A TW108113695A TW202002126A TW 202002126 A TW202002126 A TW 202002126A TW 108113695 A TW108113695 A TW 108113695A TW 108113695 A TW108113695 A TW 108113695A TW 202002126 A TW202002126 A TW 202002126A
Authority
TW
Taiwan
Prior art keywords
wafer
ring
processing
gas
edge
Prior art date
Application number
TW108113695A
Other languages
English (en)
Other versions
TWI822764B (zh
Inventor
阿南德 嘉德瑞什卡
艾瑞克 H 蘭茲
偉峰 許
傑佛瑞 查爾斯 克萊文杰
河音書
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202002126A publication Critical patent/TW202002126A/zh
Application granted granted Critical
Publication of TWI822764B publication Critical patent/TWI822764B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Weting (AREA)

Abstract

本文提供控制半導體晶圓之邊緣區域處之處理均勻度的方法及設備。在一些實施例中,該等方法包含使邊緣區域暴露於諸如蝕刻氣體及/或抑制氣體之處置氣體。本文亦提供包含複數環件的排除環組件,其可加以實施俾提供在晶圓邊緣處之處理環境的控制。

Description

邊緣去除控制
本發明係關於邊緣去除控制。
半導體處理中的一個挑戰為在盡可能如所處理晶圓般大之廣闊區域上達成處理均勻性。管控半導體晶圓之邊緣區域處的半導體處理環境面臨特定挑戰。尤其,邊緣區域處的不連續性可能使得均勻處理難以達成。此外,邊緣區域提供了通往半導體下側的流體流動通道。此使得製程氣體能進入半導體晶圓的下側,在該處可能發生不樂見的處理。
此處所提供之先前技術說明係為了大體上介紹本發明之背景。在此先前技術章節中所敘述之範圍內之本案列名之發明人的成果、以及在申請時不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。
本揭示內容之一態樣可在包含一排除環組件之設備中實施,該排除環組件係配置以用於標稱直徑D的半導體晶圓之處理中,該排除環組件包含:一上部環形環件,其具有小於D的一內直徑、及一外直徑;以及一下部環形環件,其具有小於D的一內直徑、及一外直徑,其中該上部環形環件係設置於該下部環形環件的上方,俾在該上部環形環件與該下部環形環件之間界定一環形氣體流動通道。
在一些實施例中,該環形氣體流動通道具有一內直徑及一外直徑、以及由該上部環形環件與該下部環形環件之間的間隙所界定的寬度,且其中在該環形氣體流動通道之內直徑處的該寬度小於在該環形氣體流動通道之外直徑處的該寬度。
在一些實施例中,在該下部環形環件之內直徑處的該上部環形環件與該下部環形環件之間的間隙小於在該下部環形環件之外直徑處的該上部環形環件與該下部環形環件之間的間隙。
在一些實施例中,在該下部環形環件之內直徑處的該上部環形環件與該下部環形環件之間的間隙不大於0.1英吋。
在一些實施例中,該上部環形環件的內直徑小於該下部環形環件的內直徑。
在一些實施例中,該上部環形環件包含一上表面,該上表面係實質上與垂直於該上部環形環件之中心軸的參考平面平行。在一些實施例中,該上部環形環件更包含一內緣及從該內緣延伸至該上表面的一傾斜表面。在一些此等實施例中,該下部環形環件包含一上表面,該上表面係實質上與垂直於該下部環形環件之中心軸的參考平面平行。在一些此等實施例中,該下部環形環件更包含一內緣及從該內緣延伸至該上表面的一傾斜表面。在一些此等實施例中,該上部環形環件之傾斜表面的傾斜度大於該下部環形環件之傾斜表面的傾斜度。
在一些實施例中,該設備更包含一基座,其係配置以支撐該半導體晶圓,該基座包含一氣體注入器,該氣體注入器係配置以在該半導體晶圓之邊緣區域處注入氣體。
在一些實施例中,該設備更包含支撐該排除環組件的一基座,該基座包含一上表面、及界定一氣體通道的在該上表面中之凹部。
在一些實施例中,該凹部與該基座之中心相距一距離Y,該距離Y大於該下部環形環件之內直徑。
本揭示內容之態樣可在一沉積腔室中實施,該沉積腔室包含:一基座,其包含一上表面及在該上表面中的一環形凹部,該環形凹部係配置為與一背側氣體源流體連接;一排除環組件,其係安裝於該基座上,其中該排除環組件包含具有內直徑及外直徑的一上部環形環件、及具有內直徑及外直徑的一下部環形環件,其中該上部環形環件係設置於該下部環形環件的上方,俾在該上部環形環件與該下部環形環件之間界定一下部環形氣體流動通道;以及一噴淋頭,其係設置於該基座及該排除環組件的上方,俾在該噴淋頭與該上部環形環件之間界定一上部環形氣體流動通道。
本揭示內容之態樣可在一方法中實施,該方法包含在本文所述之包含排除環組件之沉積腔室中的該基座上提供一圓形晶圓,該圓形晶圓具有一標稱直徑D,其中D大於該上部及該下部環形環件的內直徑,且其中該排除環組件係設置於該圓形晶圓之外緣的上方;透過該噴淋頭而在該圓形晶圓上方提供製程氣體之徑向流動;以及透過該基座中的該環形凹部而將一背側氣體提供至該圓形晶圓的外緣。
在一些實施例中,該方法更包含由該製程氣體沉積從該圓形晶圓之中心起至少至距該圓形晶圓之邊緣2 mm或1 mm處的均勻薄膜。
本揭示內容之態樣可在一方法中實施,該方法包含使一晶圓之邊緣區域選擇性地暴露於包含沉積抑制劑的氣體;以及使該晶圓之上表面及邊緣區域暴露於一沉積氣體,以在該上表面上沉積薄膜。
在一些實施例中,該邊緣區域上之沉積受到抑制,使得該薄膜係選擇性地沉積於該上表面上。在一些實施例中,該薄膜在直至距該晶圓之邊緣2 mm處之範圍內係均勻的。在一些實施例中,該薄膜在直至距該晶圓之邊緣1 mm處之範圍內係均勻的。
在一些實施例中,同時執行選擇性地暴露於該沉積抑制劑之步驟及暴露於該沉積氣體之步驟。在一些實施例中,在暴露於該沉積氣體之步驟之前執行選擇性地暴露於該沉積抑制劑之步驟。
在一些實施例中,該方法更包含在使該晶圓之邊緣區域選擇性地暴露於包含該沉積抑制劑的氣體之步驟之前,在該上表面及該邊緣區域上沉積第一薄膜。在一些實施例中,該薄膜為含鎢薄膜,且該沉積抑制劑為含氮化合物。
在一些實施例中,使該晶圓之邊緣區域選擇性地暴露於包含該沉積抑制劑的氣體之步驟包含使氮化鈦(TiN)暴露於該氣體。在一些實施例中,該晶圓係設置於一基座上,且該邊緣區域係設置在安裝於該基座上之邊緣排除環的下方。在一些實施例中,該方法包含使該晶圓之邊緣區域選擇性地暴露於包含該沉積抑制劑的氣體之步驟包含使一氣體通過該基座而進入至該邊緣區域。
在一些實施例中,由使該晶圓之邊緣區域選擇性地暴露於包含該沉積抑制劑的氣體之步驟至使該晶圓之上表面及邊緣區域暴露於該沉積氣體以在該上表面上沉積薄膜之步驟的過渡,包含使該邊緣排除環與該晶圓之間的距離增加。
在一些實施例中,該方法更包含重複進行使該晶圓之邊緣區域選擇性地暴露於包含該沉積抑制劑的氣體之步驟、及使該晶圓之上表面及邊緣區域暴露於該沉積氣體以在該上表面上沉積薄膜之步驟。
本揭示內容之另一態樣可在一方法中實施,該方法包含在晶圓的斜角之至少一部分及上表面上沉積一成核層;選擇性地處置該晶圓的該斜角;以及在除了該斜角以外的該晶圓各處沉積一本體層。
在一些實施例中,選擇性地處置該斜角之步驟包含選擇性地抑制該斜角上的成核作用。在一些此等實施例中,選擇性地處置該斜角之步驟包含選擇性地蝕刻在該斜角上的該成核層。在一些實施例中,該成核層及該本體層為含鎢薄膜。
本揭示內容之另一態樣可在一方法中實施,該方法包含使包含沉積氣體的一製程氣體在晶圓之上表面上方流動、並流過該晶圓之邊緣;使一處置氣體流過該晶圓之邊緣;以及使包含沉積氣體的一製程氣體在該晶圓之上表面上方流動、並流過該晶圓之邊緣,俾在該上表面上沉積薄膜,而不在該晶圓之邊緣上沉積薄膜。
在一些實施例中,使該製程氣體流動之步驟包含在該晶圓之背側上抽真空。在一些實施例中,在一邊緣排除環於抬升位置屏蔽該晶圓之邊緣的情況下使該製程氣體流動。在一些此等實施例中,在該邊緣排除環處於下降位置的情況下使該處置氣體流動。
本揭示內容之另一態樣係關於一方法,其包含使晶圓之上表面及邊緣區域暴露於一沉積氣體,以在該上表面上沉積均勻薄膜;以及使該晶圓之邊緣區域暴露於包含蝕刻劑的氣體。
本揭示內容之另一態樣係關於製造排除環組件之一方法,以用於處理具有標稱直徑D之半導體基板。該方法可涉及形成生胚並燒製生胚以形成陶瓷本體,其可根據情況而被研磨以形成排除環組件。在一些實施例中,該排除環組件可包含一上部環形環件,其具有小於D的一內直徑、及一外直徑;以及一下部環形環件,其具有小於D的一內直徑、及一外直徑,其中該上部環形環件係設置於該下部環形環件的上方,俾在該上部環形環件與該下部環形環件之間界定一環形氣體流動通道。可使該上部環形環件及該下部環形環件形成為可連接之個別元件、或形成為單件。依據許多實施例,可由陶瓷(例如氧化鋁(Al2 O3 )或氮化鋁(AlN))製造排除環組件。亦提供製造包含排除環組件的基座之方法。該方法可涉及製造一排除環組件並將該排除環組件附著或設置於基座表面上。
以下參照圖式而進一步說明該等及其他態樣。
各種實施例之範例係在附圖中顯示並在下文中進一步敘述。應理解,本文的討論並非意圖將申請專利範圍限制於所述之特定實施例。相反地,欲涵蓋可包括於本揭示內容之精神與範疇內的置換、變更、與均等物。在以下的敘述中,說明了大量的特定細節,以提供對所揭示標的之徹底理解。在毋須若干或全部此等特定細節之情況下即可實行標的之各種實施例。在其他範例中,為了不使本文所述標的晦澀難懂,習知的處理操作不會有詳細描述。
本文提供控制半導體晶圓之邊緣區域處之處理均勻度的方法及設備。在一些實施例中,該等方法包含使邊緣區域暴露於諸如蝕刻氣體及/或抑制氣體之處置氣體。本文亦提供包含複數環件的排除環組件,其可加以實施俾提供在晶圓邊緣處之處理環境的控制。
在半導體處理期間使用排除環以實行本文之方法的實施例。安裝於晶圓支座上的排除環(亦稱為最小重疊排除環或MOER)可用以控管沿著晶圓邊緣的氣流及處理環境。可用於本文所述方法之實施例中的排除環之範例係在下文中參照圖1A–1E而加以描述。
在圖1A中,繪示安裝於晶圓支座上的排除環之等角視圖。排除環100可用以控管沿著晶圓101之邊緣的氣流及處理環境,該晶圓101之邊緣可由晶圓支座103所支撐。圖1B顯示排除環100、晶圓101、及晶圓支座103的等角分解圖。
圖1C及1D分別繪示排除環之仰視圖及俯視圖。排除環100可泛指具有內直徑120及外直徑122的細環狀環件。在一些實施例中,排除環100可包含自環狀環件102之外周在徑向上突出的複數舌片104。排除環100的上表面106及下表面108(在此亦可分別稱為第一及第二表面)可實質上平行於與環狀環件之中心軸垂直的參考平面。應理解,相關於排除環100之用語「上」及「下」在本申請案的情況下為相對性用語,其關於當排除環用於半導體處理環境中時表現為「上」及「下」的排除環之表面,而非在任何給定情況下由排除環之方向定義的任意上及下。再者,可能有上表面106及下表面108的部份不與參考平面平行。例如,排除環100的下表面108可以一凹部為特徵,該凹部容許排除環100設置於半導體晶圓上方而不靠在半導體晶圓上,使得凹部的深度可大於半導體晶圓的標稱厚度。排除環100之內直徑122可小於半導體晶圓之標稱直徑,因此當用於本文所述方法中可能有一些半導體晶圓與排除環100的徑向重疊量,例如0.05”至0.5”之間。凹部可包含在大於半導體晶圓之標稱直徑的中間直徑內。下表面108的發生過渡至凹部的部份可傾斜,且因此過渡部份可代表下表面不平行於參考平面的受限區域。然而,整體來說,上表面106及下表面108可實質上平行於參考平面,使得表面的大部分徑向距離平行於參考平面。上表面106及下表面108可由彼此偏移大於半導體晶圓之標稱厚度的一距離。
排除環100的上表面106可包含一傾斜部分,如圖1E中所示,圖1E顯示晶圓101及排除環100之邊緣的放大剖面細部圖之範例。如圖所示,上表面106包含一傾斜部分111。將晶圓101設置於排除環100之凹部109中,俾使晶圓的邊緣105直接設置於排除環100下方。晶圓101的邊緣105為一斜角邊緣,使得其從晶圓的水平上表面傾斜。可避免在斜角上沉積材料,並同時在水平上表面上維持良好的均勻度。例如,在沉積相對厚(例如2000 Å)的薄膜(例如鎢)之後,可執行化學機械平坦化(CMP)處理以使該薄膜平坦化。避免斜角邊緣上之沉積係有用的,因為斜角邊緣上之沉積不會藉由平坦化而去除。
在諸如鎢之材料沉積中,排除環可用以調變晶圓邊緣處之沉積。圖2顯示包含背側氣體注入及排除環的基座(或其他晶圓支座)之示意圖。可使背側氣體(例如氬(Ar)及/或氫(H2 ))流動,以防止沉積氣體(例如六氟化鎢/氫(WF6 /H2 )或氯化鎢/氫(WClx /H2 ))到達晶圓201之邊緣。排除環200透過引導在由排除環200、晶圓支座203、及晶圓201所建立的空間中晶圓201之邊緣處的背側氣體流動而防止背側沉積。如圖2所示,排除環200在晶圓201上方延伸,且在晶圓201之頂部與排除環200之間存在間隙。懸伸部、間隙、及排除環200與噴淋頭(未圖示)之間的間隙之其中一或多者、以及背側氣體的流率和類型可加以調變,俾控制邊緣處的沉積輪廓。
在圖3所顯示的一範例中,於鎢(W)之沉積中,如排除環300下方的流線310 所示之Ar/H2 流動將沉積氣體(例如WF6 /H2 或WClx /H2 )推回,避免其到達晶圓301的邊緣,並因此避免在邊緣處的鎢沉積。排除環300的輪廓使得來自噴淋頭、在晶圓上方徑向地往外行進之氣流的流線312在環件的周圍向上彎曲。此向上彎曲使得靠近環件附近的晶圓表面之WF6 或其他鎢前驅物氣體的濃度減低。
圖3中所繪示之技術對於排除晶圓斜角上之鎢沉積係有效的,且同時提供直至距邊緣3 mm處之均勻沉積。亦即,針對300 mm之晶圓(150 mm之半徑),圖3中所示之技術提供距離晶圓中心由0至147 mm之均勻的W沉積,並同時避免斜角邊緣上之沉積。
圖4A及4B為顯示對晶圓邊緣處之沉積進行調變之某些操作的流程圖。在特定實施例中,邊緣處之沉積受到抑制及/或去除,且同時提供直至距邊緣一定距離處(包括直至距邊緣2 mm處、或直至距邊緣1 mm處)之均勻沉積。亦即,針對300 mm之晶圓,可提供距離晶圓中心由0至148 mm (距邊緣2 mm處)或由0至149 mm(距邊緣1 mm處)之均勻沉積,並同時避免斜角邊緣上之沉積。距邊緣1 mm至3 mm之範圍內的其他數值可利用本文所述之方法及設備而加以實施。依據許多實施例,該等方法可用以在晶圓上直至一定距離處提供低於1%的厚度不均勻性,其中不均勻性係以100%乘以(厚度之最大偏差(tmax – tmin)的一半除以平均厚度)進行衡量。
首先,在圖4A中,方法400可用以抑制斜角邊緣上的沉積。將晶圓的邊緣區域暴露於包含沉積抑制劑的氣體(401)。針對鎢或含鎢薄膜之沉積,沉積抑制劑可為含氮化合物,例如氮(N2 )、氨(NH3 )、或聯氨(N2 H4 )。該抑制作用可為電漿或熱(非電漿)處理。氨或聯氨可用於熱處理。在一些實施例中,在250o C至450o C之範圍內的溫度下執行熱抑制處理。在該等溫度下,將先前形成的鎢成核層暴露於NH3 引起抑制效應。其他潛在抑制性化學品(例如氮(N2 )或氫(H2 ))可在較高溫度(例如900o C)下用於熱抑制。然而,對於許多應用,該等高溫超過熱預算。含氫氮化劑(例如氨及聯氨)可在適於後段製程(BEOL)應用的較低溫度下加以使用。
在一些實施例中,抑制作用可涉及抑制劑物種與特徵部表面之間的化學反應俾形成諸如氮化矽(SiN)之化合物材料的薄層,或涉及諸如吸附之表面效應,該吸附使Si或其他表面鈍化而不會形成化合物材料的膜層。在一些實施例中,薄鎢層可存在於斜角表面上並形成氮化鎢層。
在一些實施例中,可於晶圓上的成核層或本體層之沉積之前或之後運用抑制處置。例如,可於包含在暴露表面上之阻障層(例如氮化鈦(TiN)或氮化鎢(WN)層)、鎢成核層、或鎢本體層的晶圓上執行抑制處置。
使晶圓的上表面暴露於沉積氣體(403)。舉例而言,可藉由原子層沉積(ALD)或化學氣相沉積(CVD)方法以進行沉積。在前者中,將晶圓暴露於反應物氣體之交替脈衝。在鎢沉積之範例中,可使用諸如六氟化鎢(WF6 )、六氯化鎢(WCl6 )、五氯化鎢(WCl5 )、六羰鎢(W(CO)6 )、或含鎢有機金屬化合物之含鎢前驅物。在一些實施例中,含鎢前驅物之脈衝係與還原劑(例如氫(H2 )、二硼烷(B2 H6 )、矽烷(SiH4 )、或鍺烷(GeH4 ))一同脈衝。在CVD方法中,使晶圓同時暴露於反應物氣體。
在一些實施例中,由於斜角邊緣已被鈍化,因此即使有些沉積氣體到達斜角邊緣,薄膜仍不會沉積於該處。在一些實施例中,薄膜可能沉積,但相比於晶圓上表面而更小程度地沉積。方塊403可在方塊401之後發生,或者方塊403可與方塊401部分或完全地重疊。
在圖4B中,方法410可用以對沉積於斜角邊緣上的薄膜進行蝕刻。方塊405涉及使晶圓上表面暴露於反應物氣體以沉積薄膜。舉例而言,沉積作用可為ALD或CVD方法。將晶圓之邊緣區域暴露於蝕刻劑(407)。例如,為了蝕刻鎢薄膜,可使用三氟化氮(NF3 )或分子氟(F2 )。方塊407可在方塊405之後發生,或者方塊407可與方塊405部分或完全地重疊。
在一些實施例中,一種方法可包含邊緣抑制、均勻上表面沉積、及邊緣蝕刻。可使該等操作之任一者或整個循環重複一或多次以達成期望輪廓。
圖5A及5B顯示鎢(W)或含W薄膜之沉積方法。首先,在圖5A中,在方法500中,使晶圓暴露於反應物氣體以在晶圓各處沉積W成核層(501)。以下描述W成核層之沉積,且其可涉及脈衝成核層(PNL)或原子層沉積(ALD)處理。在一些實施例中,在此階段期間,晶圓之斜角邊緣上有沉積作用。在晶圓各處亦有均勻的沉積作用。依據許多實施例,均勻沉積作用可至少到達距邊緣一閾值距離處,例如由晶圓中心向外至少至距晶圓邊緣2 mm處(距0.3 mm之斜角1.7 mm處)、或向外至少至距晶圓邊緣1 mm處(距0.3 mm之斜角1.7 mm處)。
成核層係薄的,例如在晶圓上表面上大約10 Å – 100 Å。斜角邊緣上之沉積(若存在)可為均勻或非連續的。接著,使晶圓之邊緣區域暴露於包含抑制化學品的氣體(503)。以下進一步描述鎢成核之抑制。對抑制氣體之流動進行控制,俾使晶圓上表面不會暴露於抑制化學品。以下參照圖6A、6B、7A、及7B而進一步描述用以控制氣體的技術。方塊501及503分別為在根據圖4A的處理中之方塊401及403的範例。
使晶圓暴露於反應物氣體以在上表面上沉積本體鎢層(505)。以下描述W本體層之沉積,且其可涉及ALD或CVD處理。由於邊緣斜角上的成核作用已受到抑制,在該處存在顯著的成核延遲,從而防止鎢成長。圖13顯示隨沉積厚度而變化的W成長延遲時間。可看出,成長延遲係顯著的,尤其係在諸如成核層之薄鎢層上。晶圓上表面未受到抑制(至少向外至一期望半徑(例如距斜角0.7 mm或1.7 mm處)),且在其上具有均勻的沉積。
應注意,方塊505可在方塊503完成之後發生,或者可與方塊503完全或部分地重疊。若其重疊,則邊緣區域係暴露於抑制氣體,且同時晶圓頂部係暴露於沉積氣體。此方式可在抑制氣體不與沉積氣體起化學反應、或可與沉積氣體化學相容之情況下實行。
在圖5B中,於操作501中,使晶圓暴露於反應物氣體以在晶圓各處沉積W成核層,如以上針對圖5A而敘述。接著,使邊緣區域暴露於包含W蝕刻劑的氣體以移除所沉積之薄膜(502)。以下描述W蝕刻劑化學品。對蝕刻劑氣體之流動進行控制,俾使晶圓上表面不會暴露於蝕刻劑化學品。以下參照圖6A、6B、7A、及7B而進一步描述用以控制氣體的技術。方塊501及502分別為在根據圖4B的處理中之方塊405及407的範例。
接著,於操作505中,使晶圓暴露於反應物氣體以在上表面上沉積本體鎢層,如以上針對圖5A而敘述。由於邊緣斜角上的成核層已被移除以使下伏表面(例如氮化鈦(TiN))暴露,因此鎢不會在該處成長。晶圓的上表面上具有均勻的沉積(至少向外至一期望半徑(例如距斜角0.7 mm或1.7 mm處))。
應注意,方塊505可在方塊502完成之後發生,或者可與方塊502完全或部分地重疊。若其重疊,則邊緣區域係暴露於蝕刻劑氣體,且同時晶圓頂部係暴露於沉積氣體。
依據以上針對圖5A及5B而敘述之沉積處理之範例,圖6A顯示一沉積處理期間之排除環600及晶圓的示意性配置。如所討論,真空用以將製程氣體流線引至排除環600的下方及邊緣的周圍,而抑制及/或蝕刻劑氣體來源用以處置邊緣。受拉引而使流線向下的製程氣體量控制靠近邊緣處之晶圓均勻度,而處置氣體量及/或其在空腔中脈衝的次數至少部分地控制斜角及背側沉積。
首先,在整個晶圓上(包括邊緣)沉積W成核層。不像圖3中所示之配置(在該配置中至少一些的製程氣體流線312於排除環300周圍彎曲),在此實施例中,藉由真空以將至少一些的製程氣體拉引至環件的下方。例如,可透過具有徑向真空能力的基座而抽真空。結果為延伸至晶圓各處(包括斜角處)的成核層620。接著,施用晶圓邊緣處置。此操作可發生於與成核層沉積相同或不同的工作站或腔室。此處,於邊緣環下方加入抑制氣體(例如NH3 )或蝕刻劑氣體(例如NF3 )(亦稱為處置氣體),俾對邊緣進行處置。可根據情況而透過背側氣體歧管以將氣體加入。惰性氣體(例如Ar)流動可用以防止抑制或蝕刻劑氣體之擴散。在一些實施例中,可將處置氣體稀釋。藉由適當地控制真空、處置氣體流率及濃度、排除環與晶圓之間的間隙距離,而對暴露於處置氣體的晶圓區域進行控制(應注意,可於靠近邊緣的任何適當位置處將處置氣體加入,包括沿著背側或通過環件的位置處)。
結果,邊緣區域622受到抑制及/或蝕刻,而不會抑制或蝕刻薄膜上表面的其餘部分。接著,再次施加真空以完全地沉積本體層624。雖然真空在晶圓邊緣周圍將製程氣體往下拉(因此確保在上表面上直至至少一閾值半徑的均勻沉積),但鎢薄膜不會在如上述之經抑制或經蝕刻表面上成長。
依據以上針對圖5A及5B而敘述之沉積處理之範例,圖6B顯示一沉積處理期間之排除環600及晶圓的示意性配置之另一範例。此範例係相似於圖6A之範例,但可利用共同的真空而加以實施,而非利用透過基座而抽引的真空。在圖6A中,真空用以將製程氣體流線引至排除環600的下方及晶圓邊緣的周圍,而抑制及/或蝕刻劑氣體來源用以處置邊緣。受拉引而使流線向下的製程氣體量控制靠近邊緣處之晶圓均勻度,而處置氣體量及/或其在空腔中脈衝的次數至少部分地控制斜角及背側沉積。
在圖6A中,在整個晶圓之各處(包括邊緣)沉積W成核層。此處,在排除環600被抬升遠離晶圓之情況下執行沉積。此容許共同真空拉引製程氣體至排除環600上方及下方。受拉引至排除環上方的製程氣體被拉引通過排除環600與噴淋頭(未圖示)之間的間隙。因此,可藉由晶圓與排除環600之間的間隙大小相對於排除環與噴淋頭之間的間隙大小,而控制在排除環600下方流動的氣體量,並因此控制邊緣上之沉積。在圖6B之範例中,結果為延伸至晶圓各處(包括斜角處)的成核層620。接著,施用晶圓邊緣處置。此操作可發生於與成核層沉積相同或不同的工作站或腔室。此處,於排除環600下方加入抑制氣體(例如NH3 )或蝕刻劑氣體(例如NF3 )(亦稱為處置氣體),俾對邊緣進行處置。可根據情況而透過背側氣體歧管以將氣體加入。惰性氣體(例如Ar)流動可用以防止抑制或蝕刻劑氣體之擴散。在一些實施例中,可將處置氣體稀釋。此處,使排除環600相對於圖6A中之抬升位置而下降。亦即,可使其完全下降、或下降至一中間位準。此係為了防止處置氣體流過晶圓的其餘部分。依據許多實施例,氣體可能或可能不藉由共同真空而被拉引至晶圓下方。藉由適當地控制共同真空、處置氣體流率及濃度、排除環600與晶圓之間的間隙距離,而對暴露於處置氣體的晶圓區域進行控制。可於靠近邊緣的任何適當位置處將處置氣體加入,包括沿著背側或通過環的位置處。
結果,邊緣區域622受到抑制及/或蝕刻,而不會抑制或蝕刻薄膜上表面的其餘部分。接著,將排除環600抬升以完全地沉積本體層624。雖然共同真空在晶圓邊緣周圍將製程氣體往下拉(因此確保在上表面上直至至少一閾值半徑的均勻沉積),但鎢薄膜不會在如上述之經抑制或經蝕刻表面上成長。
依據許多實施例,圖7A及7B為用以實現邊緣處置的額外配置之示意圖。在圖7A中,自背側通過基座703而將處置氣體(例如NH3 或NF3 )加入,如同圖6A或6B。此處,排除環700為背側處置氣體提供實體屏障715,其在晶圓701之斜角附近(例如自邊緣起0至1 mm或0至2 mm之間)建立一排除區帶。可使Ar或其他惰性氣體在其他區域流動,以防止處置氣體在排除區帶外擴散。在圖7B中,可使處置氣體從頂側而非從背側流動、或者可使處理氣體除了從背側之外還從頂側流動,且Ar流動避免處置氣體擴散。使噴淋頭705延伸的隔板707可為朝向晶圓中心之處置氣體擴散提供實體屏障。
本文亦提供包含複數平面以引導製程氣體流的排除環及相關設備。圖8顯示具有安裝於基板支座803上之兩個環件的排除環組件800。基板支座803係顯示為支撐基板(在此範例中為晶圓801)。基板支座803包含環形凹部844,其係與背側氣體源流體連通,且背側氣體可流動通過環形凹部844。
排除環組件800包含下部及上部環件。下部環件引導背側氣體流動以防止背側沉積、或背側及邊緣沉積,而上部環件引導在邊緣處基板附近的製程氣體以控制該處的沉積。藉此方式,使得以下兩者相脫鉤:防止背側及邊緣沉積、以及均勻地沉積直至需要均勻沉積之閾值距離。依據許多實施例,下部環件及上部環件可為相對於彼此而固定或可動的。被引導至閾值的邊緣的氣體量可透過噴淋頭805與上部環件之間的間隙而加以控制;藉由將上部環件移動至更靠近噴淋頭805,更多流動進入下部及上部環件之間的間隙,使得邊緣處之沉積增加。以下進一步描述例示性實施例邊緣環組件。
圖9A為圖8中所示之排除環組件、基座、及晶圓的等角剖視圖,而圖9B為圖9A中之指示區域的詳細視圖。環組件900包含上部環件930及下部環件932,且係安裝於基座903上。上部環件930係與下部環件932相間離,以界定一下部環形氣體流動通道934。應注意,在下部環形氣體流動通道934中,上部環件930與下部環件932之間可存在連接部(未圖示);該等連接部可為足夠微小,以免對氣流產生無法忽略之阻礙。可抽真空以拉引製程氣體通過下部環形氣體流動通道934、以及噴淋頭(未圖示)與上部環件930之間。被引導至閾值的邊緣的氣體量可透過下部環形氣體流動通道934與上部環件930和噴淋頭之間的氣體流動區域的相對大小而加以控制。針對上部環件930係相對於下部環件932而固定的實施例,氣體量可透過噴淋頭與上部環件930之間的間隙而加以控制;藉由將上部環件930移動至更靠近噴淋頭805,更多流動進入下部及上部環件之間的間隙,使得邊緣處之沉積(或其他處理)增加。此在下文中針對圖9C及9D而進一步描述。
圖9C及9D提供在不同噴淋頭-上部環件間隙之情況下的排除環組件900的製程氣體流線912之示意圖。環組件900包含上部環件930及下部環件932,其可為相對於彼此而固定的。上部環件930與噴淋頭905之間的環形間隙界定一環形氣體流動通道936。在圖9C中,上部環件930距離噴淋頭905比在圖9D中更遠;因此在圖9C中比圖9D中有更多製程氣體(如製程氣體流線912所表示)被拉引通過環形氣體流動通道936。在圖9D中噴淋頭905較靠近上部環件930之情況下,比圖9C中噴淋頭905較遠離上部環件930之情況下,有更多製程氣體(如製程氣體流線912所表示)被拉引通過下部環形氣體流動通道934。因此,在圖9D中,製程氣體的濃度在距邊緣一閾值距離處較大。閾值距離可為需要均勻處理的距離,如在圖9C及9D中之點906處所示;點906為一圓上的一點,該圓界定介於斜角與圓之間的排除區帶。可將氣體注入以提供通過基座903中之環形凹部944的流動;此可避免斜角及背側上之沉積,如上所述。在某些實施例中,可對其進行控制以避免排除區帶內之沉積,如上所述。藉此方式,上部環形氣體流動通道936與下部環形氣體流動通道934之相對大小提供對排除環邊界處之處理氣體濃度(並因此對沉積或其他處理)的控制,其係至少部分地與防止背側及邊緣處理相脫鉤。在圖9C及9D之範例中,可使基座-噴淋頭距離改變,例如藉由升高或降低基座。
依據許多實施例,如圖8A、8B、及9A–9D中所述之包含上部及下部環件的環組件可用於邊緣區域暴露於抑制或蝕刻劑氣體的上述方法之任一者中。在其他實施例中,此等環組件可用於沒有選擇性抑制或蝕刻邊緣區域的方法中;亦即,環組件本身可提供對排除區帶邊界處之處理氣體濃度的充分控制,並且背側氣體防止邊緣沉積,俾提供均勻沉積及排除區帶中之可略沉積。依據許多實施例,排除環組件可用於沉積處理中,俾提供低於1%的不均勻性至少至距晶圓邊緣2 mm或1 mm處,其中不均勻性係以100%(厚度之最大偏差(tmax – tmin)的一半除以平均厚度)進行衡量。
針對圖10及11而描述環組件之上部及下部環件的特徵。首先,圖10A、10B、及10C各別顯示下部環件1032之範例的俯視、側視、及仰視圖,下部環件1032具有內直徑1020及外直徑1022。圖10A中之上表面中顯示出三個凹部1070;該等凹部1070容納上部環件的柱體。下部環件上可存在其他特徵,例如針對上述之排除環100所描述的舌片或其他特徵。
圖11A、11B、及11C各別顯示上部環件1030之範例的俯視、側視、及仰視圖。顯示自下表面突出的三個柱體1172;該等柱體1172密接於下部環件1032中的凹部1070內。上部環件上可存在其他特徵,例如以上針對排除環100所描述的該等特徵。應理解,凹部可位在上部環件中且柱體位在下部環件中,或者該等環件可透過任何適當的連接部而實體地連接。
在一些實施例中,上部環件的內直徑1120小於下部環件的內直徑1020,使得上部環件在下部環件上方往內延伸。在一些其他實施例中,下部環件的內直徑1020可小於上部環件的內直徑1120。若上部環件內直徑(IDupper )相對於下部環件內直徑(IDlower )而過大,則上部環件可能無法有效地引導製程氣體。若上部環件內直徑(IDupper )過小,則其在比所期望處更遠離邊緣處集結氣體。在一些實施例中,針對300 mm晶圓的環組件,上部環件可延伸由比下部環件小0.04英吋至超過下部環件0.12英吋:
Figure 02_image001
應理解,該等參數可能有所變化,其取決於包括晶圓尺寸、環件之間的偏差等因素。
圖12顯示環組件1200在上部及下部環件之內周處的部分之詳細視圖。如以上針對圖1A–1E中之排除環100所描述,上部環件1230及下部環件1232之各者具有實質上平行的上和下(或第一和第二)表面。在圖12中,上部環件1230具有上表面1206a及下表面1208a,其係實質上與彼此平行、且係實質上與垂直於環形環件之中心軸的參考平面平行。下部環件1232具有上表面1206b及下表面1208b,其係實質上與彼此平行、且係實質上與垂直於環形環件之中心軸的參考平面平行。在圖12之範例中,下部環件1232亦包含一凹部1209,其使得環組件1200能夠被設置於晶圓上方。
上部環件1230及下部環件1232之各者具有與其相應上表面相鄰的傾斜表面。上部環件1230包含傾斜上表面1211a,而下部環件包含傾斜上表面1211b。應注意,雖然上表面1206a及傾斜上表面1211a係繪示為由一邊緣所分隔,但在一些實施例中,在該等上表面之間可存在一圓弧,如圖1E所繪示。因此,傾斜上表面1211a可為上表面1206a的傾斜部分、或個別的表面。相似地,傾斜上表面1211b可為上表面1206b的傾斜部分、或個別的表面。上部環件1230之傾斜上表面1211a的傾斜角大於下部環件1232之傾斜上表面1211b的傾斜角。上部環件1230之傾斜上表面1211a的例示性傾斜角係在與水平面呈15度至80度之範圍內。下部環件1232之傾斜上表面1211b的例示性傾斜角係在與水平面呈1度至45度之範圍內。
在圖12之範例中,上部環件1230亦具有傾斜下表面1213,其可為下表面1208a的傾斜部分、或由一邊緣所分隔的個別表面。環形氣體流動通道1234可由傾斜下表面1213、下表面1208a、傾斜上表面1211b、及上表面1206b所界定。傾斜上表面1211b及傾斜下表面1213的傾斜度可使得上部及下部環件在環形氣體通道1234之內開口處的偏差(D1)小於在環形氣體通道之出口處的偏差(D2)。在圖12之範例中,由於上表面1206b平行於下表面1208a,偏差D2與出口處之偏差相同。此係為了藉由使上部環件靠近晶圓表面而提供在上部環件之內端處的精細控制,並同時使在環形氣體通道本身中的流動限制減小。在一範例中,距離D1可為0.062英吋,而D2可為0.125英吋。
在圖12之範例中,上部環件1230包含內表面1214a,且下部環件包含內表面1214b。在一些實施例中,可將該等內表面省略。以上各內表面的例示性尺寸可在0(若不存在)至0.08英吋之範圍內。
如上所述之排除環可為陶瓷材料,包括氧化鋁或氮化鋁。亦提供製造排除環組件之方法,其可包含由陶瓷粉末形成生胚、燒製生胚、並接著將其研磨以形成上述排除環組件之任一者。可將上部及下部環件製造成個別的元件或單一的元件。可將排除環組件附著於基座、或在沒有附著之情況下設置於基座上。在一些實施例中,基座上的引導件可用以將排除環固持於適當位置。在一些實施例中,基座可為鑄造或焊接的、硬焊的、及機械加工的。可使基座形成而帶有適當的引導件。
雖然以上說明主要描述在鎢沉積之背景下使用排除環,然而可實施該等排除環以用於以下處理:在不於邊緣處進行處理或適當地處置邊緣之情況下,使用任何相關半導體處理操作直至距晶圓邊緣一閾值距離處的均勻處理。相關的處理操作包含製程氣體從腔室中之噴淋頭徑向分配的操作。處於連續流動狀態的處理(對於該等處理,直至非常靠近基板邊緣的均勻性(而不於基板邊緣或背側上沉積)係所期望的)可能受益。該等處理包含任何CVD或ALD操作,其包括下列材料之沉積:傳導或介電材料(包括(但不限於)氮化鎢(WN)及碳化鎢(WC))、含鈦材料(例如鈦(Ti)、氮化鈦(TiN)、矽化鈦(TiSi)、碳化鈦(TiC)、及鋁化鈦(TiAl))、含鉭材料、含鎳材料、含釕材料、含鈷材料、含鉬材料等。
在一些實施例中,本文所述方法涉及在沉積本體層之前沉積成核層。如上所述,將成核層沉積於整個晶圓上,包含晶圓斜面上。成核層通常為薄的保形層,其促進隨後在其上沉積本體材料。例如,可在特徵部之任何填充之前及/或特徵部填充期間之後續時間點(例如經由內連線)於晶圓表面上沉積成核層。例如,在一些實施例中,可在蝕刻特徵部中的鎢之後、以及初始鎢沉積之前沉積成核層。
在某些實施例中,使用脈衝成核層(PNL)技術以沉積成核層。在用以沉積鎢成核層之PNL技術中,將還原劑、選用性的排淨氣體、及含鎢前驅物之脈衝依序地注入反應腔室、並從反應腔室中排淨。以循環方式重複該處理,直到達成期望厚度為止。PNL概括地包含依序加入反應物以在半導體基板上進行反應之任何循環處理,包括原子層沉積(ALD)技術。用於沉積鎢成核層的PNL技術係在下列美國專利及專利公開案中說明:美國專利第6,635,965、7,005,372、7,141,494、7,589,017、7,772,114、7,955,972、及8,058,170號、以及美國專利公開案第2010-0267235號,在此將以上各者全文引入以供參照。成核層厚度可取決於成核層沉積方法、以及本體沉積的期望品質。一般而言,成核層厚度係足以支持高品質、均勻的本體沉積。範例可為10Å至100Å之範圍內。
雖然於上文中提供PNL沉積之範例,然而本文所述方法並不限於鎢成核層沉積之特定方法,而是包含由下列方法所形成之鎢成核層上的本體鎢膜沉積:包括PNL、ALD、CVD、及物理氣相沉積(PVD)之任何方法。此外,在某些實施例中,可在不使用成核層之情況下將本體鎢直接沉積於特徵部中。例如,在一些實施例中,特徵部表面及/或已沉積之下層支持本體鎢之沉積。在一些實施例中,可執行未使用成核層之本體鎢沉積處理。例如,2012年07月27日提交的美國專利申請案第13/560,688號(在此引入以供參照)說明無成核層的鎢本體層之沉積。
在許多實施例中,鎢成核層沉積可涉及暴露於含鎢前驅物,例如六氟化鎢(WF6 )、六氯化鎢(WCl6 )、及六羰鎢(W(CO)6 )。在某些實施例中,含鎢前驅物為一含鹵素化合物,例如WF6 。亦可使用有機金屬化合物、及不含氟的前驅物,例如MDNOW(甲基環戊二烯二羰基亞硝醯基鎢(methylcyclopentadienyl-dicarbonylnitrosyl-tungsten))及EDNOW (乙基環戊二烯二羰基亞硝醯基鎢(ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten))。
還原劑之範例可包括包含二硼烷(B2 H6 )及其他硼烷的含硼還原劑、包含矽烷(SiH4 )及其他矽烷的含矽還原劑、聯氨、以及鍺烷。在一些實施例中,含鎢前驅物之脈衝可與一或更多還原劑之脈衝交替,例如,S/W/S/W/B/W等,W代表含鎢前驅物,S代表含矽前驅物,且B代表含硼前驅物。在一些實施例中,可能不使用單獨的還原劑,例如,含鎢前驅物可能經歷熱或電漿輔助分解。
依據許多實施例,氫可能或可能不在背景中流動。再者,在一些實施例中,在鎢本體沉積之前,可在鎢成核層之沉積之後進行一或更多處理操作。將所沉積之鎢成核層處理為較低的電阻率係在例如下列美國專利及專利公開案中說明:美國專利第7,772,114及8,058,170號、以及美國專利公開案第2010-0267235號,在此引入以供參照。
此外,此處所述方法並不限於鎢沉積,而是可加以實施俾沉積可為其沉積一成核層之其他材料,如下所述。本體沉積
如上所述,可在整個晶圓上實行鎢之本體沉積。在許多實施例中,可藉由CVD處理以進行鎢本體沉積,在CVD處理中將還原劑及含鎢前驅物流入沉積腔室,以在特徵部中沉積本體填充層。惰性載氣可用於輸送反應物流其中一或多者,其可能或可能不是預先混合的。不像PNL或ALD處理,此操作通常涉及連續地流動反應物直到沉積了期望量為止。在某些實施例中,CVD操作可發生在多個階段中,其中反應物之連續及同時流動之多個時期被一或更多反應物流動被轉向之時期所分隔開。
包括(但不限於)WF6 、WCl6 、及W(CO)6 之許多含鎢氣體可用以作為含鎢前驅物。在某些實施例中,含鎢前驅物為含鹵素化合物,例如WF6 。在某些實施例中,還原劑為氫氣,但可使用其他還原劑,包括矽烷(SiH4 )、二矽烷(Si2 H6 )、聯氨(N2 H4 )、二硼烷(B2 H6 )、及鍺烷(GeH4 )。許多實施例中,在CVD處理中使用氫氣以作為還原劑。在一些其他實施例中,可使用可分解以形成本體鎢層的鎢前驅物。亦可使用包括ALD處理之其他類型的處理來進行本體沉積。
溫度之範例可在200o C至500o C之範圍內。依據許多實施例,本文所述之CVD鎢操作之任一者可採用低溫CVD鎢填充(例如在約250o C至350o C、或約300o C下)。
沉積可依據許多實施例而繼續進行,直到達成某特徵輪廓、達成某晶圓邊緣輪廓、及/或沉積一定量的鎢為止。在一些實施例中,可藉由模型化及/或試誤法以判定沉積時間及其他相關參數。在一些實施例中,處理腔室可配備各種感測器,以執行用於沉積操作之終點偵測的原位計量量測。原位計量之範例包含用於判定所沉積之薄膜厚度的光學顯微術及X射線螢光(XRF)。
應理解,本文所述之鎢薄膜可包含若干量的其他化合物、摻雜物及/或雜質(例如氮、碳、氧、硼、磷、硫、矽、鍺等),其取決於所使用的特定前驅物及處理。薄膜中的鎢含量可在20%至100%(原子百分比)鎢之範圍內。在許多實施例中,薄膜係富含鎢的,其具有至少50%(原子百分比)的鎢、或甚至至少約60%、75%、90%、或99%(原子百分比)的鎢。在一些實施例中,薄膜可為金屬或元素鎢(W)與其他含鎢化合物(例如碳化鎢(WC)、氮化鎢(WN)等)之混合物。
該等材料的CVD及ALD沉積可包含使用任何適當的前驅物。例如,氮化鎢的CVD及ALD沉積可包含使用含鹵素及無鹵素之含鎢及含氮化合物,如下進一步敘述。含鈦層的CVD及ALD沉積可包含使用含鈦的前驅物,其中範例包含四(二甲胺基)鈦(TDMAT)及氯化鈦(TiCl4 )、及一或更多共反應物(若合適)。含鉭層的CVD及ALD沉積可包含使用諸如五(二甲胺基)鉭(PDMAT)及TaF5 的前驅物、及一或更多共反應物(若合適)。含鈷層的CVD及ALD沉積可包含使用前驅物,諸如三(2,2,6,6-四甲基-3,5-庚二酮酸)鈷、雙(環戊二烯基)鈷、及二鈷六羰基丁基乙炔、及一或更多共反應物。含鎳層的CVD及ALD沉積可包含使用諸如環戊二烯基烯丙基鎳(CpAllylNi)及MeCp2 Ni之前驅物。鉬的CVD及ALD沉積可包含使用諸如六氟化鉬(MoF6)、五氯化鉬(MoCl5)、二氯二氧化鉬(MoO2Cl2)、四氯氧化鉬(MoOCl4)、及六羰鉬(Mo(CO)6)之前驅物。共反應物的範例可包含N2 、NH3 、N2 H4 、N2 H6 、SiH4 、Si3 H6 、B2 H6 、H2 、及AlCl3 蝕刻
可藉由使鎢暴露於可與鎢反應的一或更多蝕刻劑物種而進行鎢蝕刻。蝕刻劑物種之範例包含鹵素物種及含鹵素物種。可用以去除含鎢材料之初始蝕刻劑物種的範例包含三氟化氮(NF3 )、四氟甲烷(CF4 )、四氟乙烯(C2 F4 )、六氟乙烷(C2 F6 )、八氟丙烷(C3 F8 )、三氟甲烷(CHF3 )、三氟氯甲烷(CF3 Cl)、六氟化硫(SF6 )、及分子氟(F2 )。在一些實施例中,該等物種可被活化且包含自由基及/或離子。例如,可使初始蝕刻劑物種流過遠程電漿產生器及/或經受原位電漿。然而,針對以上參照圖5B、6、7A、及7B而描述之實施例,通常使鎢暴露於非電漿蝕刻劑蒸氣。
除了以上所提供之範例之外,任何公知的蝕刻劑化學品亦可用於蝕刻非含鎢薄膜及含鎢薄膜。例如,含氟化合物(例如NF3 )可用於蝕刻含鈦化合物(例如TiN及TiC)。在一些實施例中,含氯化合物(例如Cl2 及BCl3 )可用於例如蝕刻TiAl、TiAlN、含鎳化合物、及含鈷化合物。
依據許多實施例,有些或全部的蝕刻操作可在執行其他操作(包括沉積及/或處置操作)的相同腔室中執行、或在專用的蝕刻腔室中執行。在許多實施例中,執行蝕刻直到去除所沉積鎢之某特性、或達成某輪廓為止。例如,可執行蝕刻直到去除斜角處之鎢成核層為止。在一些實施例中,可藉由特定邊緣幾何及受蝕刻之沉積鎢的輪廓和量之模型化及/或試誤(trial and error),而決定特定蝕刻處理參數的蝕刻終點。在一些實施例中,處理腔室可配備有各種感測器以執行原位計量量測,俾識別去除程度。原位計量之範例包含用於判定薄膜厚度的光學顯微術及XRF。此外,紅外線(IR)光譜術可用以偵測在蝕刻期間所產生之氟化鎢(WFx)或其他副產物的量。在一些實施例中,下層可用作蝕刻停止層。光放射光譜術(OES)亦可用以監視蝕刻。依據許多實施例,鎢之蝕刻可能對下層較適宜或較不適宜(或非適宜)。例如,蝕刻可能對具有例如Ti或TiN下層用作蝕刻停止層的W係適宜的。在一些實施例中,蝕刻可對具有下伏介電質用作蝕刻停止層的W及Ti或TiN進行蝕刻。 成核層之抑制
如美國專利公開案第20170365513號中所述,抑制可涉及暴露於活化物種,其使特徵部表面鈍化。提供熱抑制處理。熱抑制處理一般涉及使特徵部暴露於含氮化合物(例如氨(NH3 )或聯氨(N2 H4 )),俾非保形地抑制特徵部開口附近的特徵部。在一些實施例中,在250o C至450o C之範圍內的溫度下執行熱抑制處理。在該等溫度下,使先前形成的鎢成核層暴露於NH3 引起抑制效應。其他潛在抑制性化學品(例如氮(N2 )或氫(H2 ))可在較高溫度(例如900o C)下用於熱抑制。然而,對於許多應用,該等高溫超過熱預算。除了氨之外,其他含氫氮化劑(例如聯氨)亦可在適於後段製程(BEOL)應用的較低溫度下加以使用。
表面氮化可使其鈍化。相較於常規的本體鎢薄膜,在經氮化表面上之後續的鎢沉積顯著延遲。除了NF3 之外,亦可使用氟碳化物(例如CF4 或C2 F8 )。然而,在某些實施例中,抑制物種為不含氟的,俾避免選擇性抑制期間之蝕刻。
除了鎢表面之外,可在襯層/阻障層表面(例如TiN及/或WN表面)上抑制成核。可使用抑制該等表面的任何化學品。抑制化學品亦可用以調整抑制輪廓,其中使用不同比例的活性抑制物種。例如,針對W表面之抑制,氮可具有比氫更強的抑制效果;調整成形氣體中之N2 與H2 氣體的比例之操作可用以調整輪廓。
在某些實施例中,可在進行抑制之前將基板加熱或冷卻。可選擇基板的預定溫度,以誘發特徵部表面與抑制物種之間的化學反應及/或促進抑制物種之吸附、以及控制反應或吸附的速率。例如,可選擇溫度以具有高反應速率,使得氣體源附近發生更多抑制作用。
在一些實施例中,抑制作用可涉及熱抑制劑物種與特徵部表面之間的化學反應,俾形成WN化合物材料之薄層。在一些實施例中,抑制作用可涉及使表面鈍化而不形成化合物材料薄層的表面效應,例如吸附。
若鎢成核層存在,則可使其暴露於NH3 或其他抑制蒸氣,以選擇性地在晶圓邊緣處抑制晶圓。在一些實施例中,若本體鎢或含鎢層存在,則可採用還原劑/含鎢前驅物/含氮抑制化學品,以在本體層上形成WN。可依序(例如,B2 H6 /WF6 /NH3 脈衝)或同時將該等反應物導入。可使用任何適當的還原劑(例如二硼烷或矽烷)及任何適當的含鎢前驅物(例如六氟化鎢或六羰鎢)。
雖然以上說明著重於鎢沉積,但本揭示內容之態樣亦可於沉積其他材料之情況中實施。例如,使用其他材料之邊緣排除控制可加以執行,該等材料包括其他含鎢材料(例如氮化鎢(WN)及碳化鎢(WC))、含鈦材料(例如鈦(Ti)、氮化鈦(TiN)、矽化鈦(TiSi)、碳化鈦(TiC)、及鋁化鈦(TiAl))、含鉭材料(例如鉭(Ta)、氮化鉭(TaN))、及含鎳材料(例如鎳(Ni)及矽化鎳(NiSi))。例如,可使用含氮氣體以進行鈷材料之抑制。設備
本文所提出之方法可在能從各種供應商取得之各種類型的沉積設備中實現。合適設備的範例包含Novellus Concept-1 ALTUS™、Concept 2 ALTUS™、Concept 3 ALTUS™沉積系統、及ALTUS Max™、或各種其他市售化學氣相沉積(CVD)工具之任一者。在單站及多站沉積設備中之工作站可用以執行上述方法。
圖14顯示可依據前述各種方法而使用的設備1460。沉積站1402具有在沉積期間支撐晶圓的基板支座1403。圖示出排除環1400及噴淋頭1405。如上所述,可將製程氣體饋送通過噴淋頭1405,其中基板支座配備有真空,且在一些實施例中配備有如上所示之處置氣體源。
在各種實施例中,氣體感測器、壓力感測器、溫度感測器等可用以提供關於工作站狀態的資訊。可在沉積期間監視的工作站感測器之範例包含質量流量控制器、壓力感測器(例如壓力計)、位於基座中的熱電偶、及紅外線偵測器,俾監視工作站中之一或多種氣體的存在。在某些實施例中,使用控制器1474以控制工作站的製程條件。以下參照圖15而進一步討論關於控制器類型之細節,且關於此圖的討論適用於工作站以及腔室的控制器。感測器(例如1476)可用以提供資訊至控制器1474。
圖15顯示可與某些實施例一同使用的多站設備之範例。設備1500包含處理腔室1501,其容置一些工作站。處理腔室可容置至少兩個工作站、或至少三個工作站、或至少四個工作站或更多。圖15顯示具有四個工作站1531、1532、1533、及1534的設備1500。在一些實施例中,具有處理腔室1501的多站設備1500中的所有工作站可被暴露於相同的壓力環境,該壓力環境係由系統控制器1574所控制。感測器(未圖示)亦可包含壓力感測器以提供腔室壓力讀數。然而,每一工作站可具有個別的溫度條件或其他條件。
在沉積處理中,通常待處理之晶圓通過負載鎖而進入工作站1531。在此站,可執行鎢成核層沉積處理。晶圓可接著被轉移至工作站1532,以進行如上述之邊緣處置。接著可在工作站1533及1534執行CVD沉積。或者,可保留一個站以進行邊緣蝕刻。
系統控制器1574可控制轉移、工作站、及處理腔室的狀態,例如腔室的壓力。系統控制器1574(其可包含一或更多實體或邏輯控制器)控制處理腔室1500的一些或全部的操作。系統控制器1574可包含一或更多記憶體裝置及一或更多處理器。在一些實施例中,系統控制器1574為系統的部分,其可為上述範例的部分。此類系統可包含半導體處理設備,包括一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓底座、氣流系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置整合至系統控制器中,該系統控制器可控制一或複數系統的各種元件或子部件。依據處理參數及/或系統類型,可將系統控制器程式化以控制本文中所揭示之處理的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖之晶圓傳送。
廣泛而言,可將系統控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施中,該等操作參數可為由製程工程師所定義之配方的部分,該配方係用以在基板之一或更多的膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造或移除期間,完成一或更多的處理步驟。
在一些實施例中,系統控制器可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。例如,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許基板處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前進度、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,俾改變目前處理之參數,以設定處理步驟而接續目前的處理、或開始新的處理。在一些範例中,遠端電腦(如伺服器)可透過網路將處理配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,系統控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之各者而指定參數。應理解,該等參數可特定於待執行之處理的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,系統控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文中所敘述之處理及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的處理。
範例系統可包含(但不限於)電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、ALD腔室或模組、ALE腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,依據將藉由工具執行之(複數)處理步驟,控制器可與半導體製造工廠中之下列一或更多者進行通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接之工具、鄰近之工具、遍布工廠的工具、主電腦、另一控制器、或材料運輸中所使用之工具,該材料運輸中所使用之工具將晶圓容器輸送往返於工具位置及/或裝載埠。圖案化方法 / 設備:
上文中所述的設備/處理可結合微影圖案化的工具或處理而使用,例如,半導體裝置、顯示器、LED、太陽光電板等的加工或製造。通常,雖然不一定,此等工具/處理將一起使用或執行於共同的加工設施內。薄膜的微影圖案化通常包含一些或全部下列步驟,每個步驟以若干可能的工具促成:(1) 使用旋轉式或噴塗式的工具以進行工件(亦即基板)上光阻的塗佈;(2) 使用加熱板或加熱爐或UV固化工具以進行光阻的固化;(3)以諸如晶圓步進機的工具暴露光阻於可見光或UV或x射線光;(4) 使用諸如溼檯的工具以使光阻顯影,俾選擇性地移除光阻並從而使其圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具轉移光阻圖案進入底膜或工件;及(6)使用諸如RF或微波電漿光阻剝除器的工具移除光阻。
100‧‧‧排除環 101‧‧‧晶圓 102‧‧‧環狀環件 103‧‧‧晶圓支座 104‧‧‧舌片 105‧‧‧邊緣 106‧‧‧上表面 108‧‧‧下表面 109‧‧‧凹部 111‧‧‧傾斜部分 120‧‧‧內直徑 122‧‧‧外直徑 200‧‧‧排除環 201‧‧‧晶圓 203‧‧‧晶圓支座 300‧‧‧排除環 301‧‧‧晶圓 310‧‧‧流線 312‧‧‧流線 400‧‧‧方法 401‧‧‧操作 403‧‧‧操作 405‧‧‧操作 407‧‧‧操作 410‧‧‧方法 500‧‧‧方法 501‧‧‧操作 502‧‧‧操作 503‧‧‧操作 505‧‧‧操作 600‧‧‧排除環 620‧‧‧成核層 622‧‧‧邊緣區域 624‧‧‧本體層 700‧‧‧排除環 701‧‧‧晶圓 703‧‧‧基座 705‧‧‧噴淋頭 707‧‧‧隔板 715‧‧‧屏障 800‧‧‧排除環組件 801‧‧‧晶圓 803‧‧‧基板支座 805‧‧‧噴淋頭 844‧‧‧環形凹部 900‧‧‧環組件 901‧‧‧晶圓 903‧‧‧基座 905‧‧‧噴淋頭 906‧‧‧點 912‧‧‧製程氣體流線 930‧‧‧上部環件 932‧‧‧下部環件 934‧‧‧下部環形氣體流動通道 936‧‧‧上部環形氣體流動通道 944‧‧‧環形凹部 1020‧‧‧內直徑 1022‧‧‧外直徑 1030‧‧‧上部環件 1032‧‧‧下部環件 1070‧‧‧凹部 1120‧‧‧內直徑 1122‧‧‧外直徑 1172‧‧‧柱體 1200‧‧‧環組件 1206a‧‧‧上表面 1206b‧‧‧上表面 1208a‧‧‧下表面 1208b‧‧‧下表面 1209‧‧‧凹部 1211a‧‧‧傾斜上表面 1211b‧‧‧傾斜上表面 1213‧‧‧傾斜下表面 1214a‧‧‧內表面 1214b‧‧‧內表面 1230‧‧‧上部環件 1232‧‧‧下部環件 1234‧‧‧通道 1400‧‧‧排除環 1402‧‧‧沉積站 1403‧‧‧基板支座 1405‧‧‧噴淋頭 1460‧‧‧設備 1474‧‧‧控制器 1476‧‧‧感測器 1500‧‧‧設備 1501‧‧‧處理腔室 1531‧‧‧工作站 1532‧‧‧工作站 1533‧‧‧工作站 1534‧‧‧工作站 1574‧‧‧系統控制器
圖1A繪示可用於本揭示內容之實施例中之安裝於晶圓支座上的排除環之範例的等角視圖。
圖1B顯示可用於本揭示內容之實施例中之排除環、晶圓、及晶圓支座之範例的等角分解圖。
圖1C及1D分別繪示可用於本揭示內容之實施例中之排除環之範例的仰視圖及俯視圖。
圖1E顯示可用於本揭示內容之實施例中之排除環及晶圓的放大剖面細部圖。
圖2顯示可用於本揭示內容之實施例中之包含背側氣體注入及排除環的基座之範例配置之示意圖。
圖3顯示使用包含背側氣體注入及排除環的基座之範例配置之在沉積期間的製程氣體及背側氣體流線的示意圖。
依據本揭示內容之實施例,圖4A及4B為顯示晶圓邊緣處之沉積之調變方法的範例中之某些操作的程序流程圖。
依據本揭示內容之實施例,圖5A及5B為顯示鎢(W)或含W薄膜之沉積方法的範例中之某些操作的程序流程圖。
依據針對圖5A及5B而敘述之鎢沉積方法之範例,圖6A及6B顯示在沉積處理期間之排除環600及晶圓的示意性配置。
圖7A及7B為可用於本揭示內容之實施例中之包含背側氣體注入及排除環的基座配置之示意圖。
依據本揭示內容之實施例,圖8顯示具有安裝於基板支座上之兩個環件的例示性排除環組件。
圖9A為圖8中所示之排除環組件、基座、及晶圓的等角剖視圖,而圖9B為圖9A中之指示區域的詳細視圖。
圖9C及9D顯示在不同噴淋頭-上部環件間隙之情況下的具有兩個環件的排除環組件的製程氣體流線之示意圖。
依據本揭示內容之實施例,圖10A、10B、及10C各別顯示具有兩個環件的排除環組件之下部環件之範例的俯視、側視、及仰視圖。
依據本揭示內容之實施例,圖11A、11B、及11C各別顯示具有兩個環件的排除環組件之上部環件之範例的俯視、側視、及仰視圖。
依據本揭示內容之實施例,圖12顯示具有兩個環件的環組件之範例在上部及下部環件之內周處的部分之詳細視圖。
圖13顯示隨沉積厚度而變化的鎢(W)成長延遲時間之範例。
依據本揭示內容之實施例,圖14為適合於沉積及處置製程的處理腔室之範例之示意圖。
依據本揭示內容之實施例,圖15為適合於沉積及處置製程的處理設備之範例之示意圖。
900‧‧‧環組件
901‧‧‧晶圓
903‧‧‧基座

Claims (39)

  1. 一種用以處理半導體晶圓的設備,包含: 一排除環組件,其係配置以用於標稱直徑D的半導體晶圓之處理中,該排除環組件包含: 一上部環形環件,其具有小於D的一內直徑、及一外直徑;以及 一下部環形環件,其具有小於D的一內直徑、及一外直徑,其中該上部環形環件係設置於該下部環形環件的上方,俾在該上部環形環件與該下部環形環件之間界定一環形氣體流動通道。
  2. 如申請專利範圍第1項之用以處理半導體晶圓的設備,其中該環形氣體流動通道具有一內直徑及一外直徑、以及由該上部環形環件與該下部環形環件之間的間隙所界定的寬度,且其中在該環形氣體流動通道之內直徑處的該寬度小於在該環形氣體流動通道之外直徑處的該寬度。
  3. 如申請專利範圍第1項之用以處理半導體晶圓的設備,其中在該下部環形環件之內直徑處的該上部環形環件與該下部環形環件之間的間隙小於在該下部環形環件之外直徑處的該上部環形環件與該下部環形環件之間的間隙。
  4. 如申請專利範圍第1項之用以處理半導體晶圓的設備,其中在該下部環形環件之內直徑處的該上部環形環件與該下部環形環件之間的間隙不大於0.1英吋。
  5. 如申請專利範圍第1項之用以處理半導體晶圓的設備,其中該上部環形環件的內直徑小於該下部環形環件的內直徑。
  6. 如申請專利範圍第1項之用以處理半導體晶圓的設備,其中該上部環形環件包含一上表面,該上表面係實質上與垂直於該上部環形環件之中心軸的參考平面平行。
  7. 如申請專利範圍第6項之用以處理半導體晶圓的設備,其中該上部環形環件更包含一內緣及從該內緣延伸至該上表面的一傾斜表面。
  8. 如申請專利範圍第7項之用以處理半導體晶圓的設備,其中該下部環形環件包含一上表面,該上表面係實質上與垂直於該下部環形環件之中心軸的參考平面平行。
  9. 如申請專利範圍第8項之用以處理半導體晶圓的設備,其中該下部環形環件更包含一內緣及從該內緣延伸至該上表面的一傾斜表面。
  10. 如申請專利範圍第9項之用以處理半導體晶圓的設備,其中該上部環形環件之傾斜表面的傾斜度大於該下部環形環件之傾斜表面的傾斜度。
  11. 如申請專利範圍第1項之用以處理半導體晶圓的設備,更包含一基座,其係配置以支撐該半導體晶圓,該基座包含一氣體注入器,該氣體注入器係配置以在該半導體晶圓之邊緣區域處注入氣體。
  12. 如申請專利範圍第1項之用以處理半導體晶圓的設備,更包含支撐該排除環組件的一基座,該基座包含一上表面、及界定一氣體通道的在該上表面中之凹部。
  13. 如申請專利範圍第12項之用以處理半導體晶圓的設備,其中該凹部與該基座之中心相距一距離Y,該距離Y大於該下部環形環件之內直徑。
  14. 一種沉積腔室,包含: 一基座,其包含一上表面及在該上表面中的一環形凹部,該環形凹部係配置為與一背側氣體源流體連接; 一排除環組件,其係安裝於該基座上,其中該排除環組件包含具有內直徑及外直徑的一上部環形環件、及具有內直徑及外直徑的一下部環形環件,其中該上部環形環件係設置於該下部環形環件的上方,俾在該上部環形環件與該下部環形環件之間界定一下部環形氣體流動通道;以及 一噴淋頭,其係設置於該基座及該排除環組件的上方,俾在該噴淋頭與該上部環形環件之間界定一上部環形氣體流動通道。
  15. 一種用以處理半導體晶圓的方法,包含: 在依據申請專利範圍第14項之沉積腔室中的該基座上提供一圓形晶圓,該圓形晶圓具有一標稱直徑D,其中D大於該上部及該下部環形環件的內直徑,且其中該排除環組件係設置於該圓形晶圓之外緣的上方; 透過該噴淋頭而在該圓形晶圓上方提供製程氣體之徑向流動;以及 透過該基座中的該環形凹部而將一背側氣體提供至該圓形晶圓的外緣。
  16. 如申請專利範圍第15項之用以處理半導體晶圓的方法,更包含由該製程氣體沉積從該圓形晶圓之中心起至少至距該圓形晶圓之邊緣2 mm處的均勻薄膜。
  17. 如申請專利範圍第16項之用以處理半導體晶圓的方法,其中沉積該均勻薄膜至少至距該圓形晶圓之邊緣1 mm處。
  18. 一種用以處理半導體晶圓的方法,包含: 使一晶圓之邊緣區域選擇性地暴露於包含沉積抑制劑的氣體;以及 使該晶圓之上表面及邊緣區域暴露於一沉積氣體,以在該上表面上沉積薄膜。
  19. 如申請專利範圍第18項之用以處理半導體晶圓的方法,其中該邊緣區域上之沉積受到抑制,使得該薄膜係選擇性地沉積於該上表面上。
  20. 如申請專利範圍第18項之用以處理半導體晶圓的方法,其中該薄膜在直至距該晶圓之邊緣2 mm處之範圍內係均勻的。
  21. 如申請專利範圍第18項之用以處理半導體晶圓的方法,其中該薄膜在直至距該晶圓之邊緣1 mm處之範圍內係均勻的。
  22. 如申請專利範圍第18項之用以處理半導體晶圓的方法,其中,同時執行選擇性地暴露於該沉積抑制劑之步驟及暴露於該沉積氣體之步驟。
  23. 如申請專利範圍第18項之用以處理半導體晶圓的方法,其中,在暴露於該沉積氣體之步驟之前執行選擇性地暴露於該沉積抑制劑之步驟。
  24. 如申請專利範圍第18項之用以處理半導體晶圓的方法,更包含:在使該晶圓之邊緣區域選擇性地暴露於包含該沉積抑制劑的氣體之步驟之前,在該上表面及該邊緣區域上沉積第一薄膜。
  25. 如申請專利範圍第18項之用以處理半導體晶圓的方法,其中該薄膜為含鎢薄膜,且該沉積抑制劑為含氮化合物。
  26. 如申請專利範圍第18項之用以處理半導體晶圓的方法,其中使該晶圓之邊緣區域選擇性地暴露於包含該沉積抑制劑的氣體之步驟包含使氮化鈦(TiN)暴露於該氣體。
  27. 如申請專利範圍第18項之用以處理半導體晶圓的方法,其中該晶圓係設置於一基座上,且該邊緣區域係設置在安裝於該基座上之邊緣排除環的下方。
  28. 如申請專利範圍第27項之用以處理半導體晶圓的方法,其中使該晶圓之邊緣區域選擇性地暴露於包含該沉積抑制劑的氣體之步驟包含使一氣體通過該基座而進入至該邊緣區域。
  29. 如申請專利範圍第27項之用以處理半導體晶圓的方法,其中,由使該晶圓之邊緣區域選擇性地暴露於包含該沉積抑制劑的氣體之步驟至使該晶圓之上表面及邊緣區域暴露於該沉積氣體以在該上表面上沉積薄膜之步驟的過渡期間,包含使該邊緣排除環與該晶圓之間的距離增加。
  30. 如申請專利範圍第18項之用以處理半導體晶圓的方法,更包含重複進行使該晶圓之邊緣區域選擇性地暴露於包含該沉積抑制劑的氣體之步驟、及使該晶圓之上表面及邊緣區域暴露於該沉積氣體以在該上表面上沉積薄膜之步驟。
  31. 一種用以處理半導體晶圓的方法,包含: 在晶圓的斜角之至少一部分及上表面上沉積一成核層; 選擇性地處置該晶圓的該斜角;以及 在除了該斜角以外的該晶圓各處上沉積一本體層。
  32. 如申請專利範圍第31項之用以處理半導體晶圓的方法,其中選擇性地處置該斜角之步驟包含選擇性地抑制該斜角上的成核作用。
  33. 如申請專利範圍第31項之用以處理半導體晶圓的方法,其中選擇性地處置該斜角之步驟包含選擇性地蝕刻在該斜角上的該成核層。
  34. 如申請專利範圍第33項之用以處理半導體晶圓的方法,其中該成核層及該本體層為含鎢薄膜。
  35. 一種用以處理半導體晶圓的方法,包含: 使包含沉積氣體的一製程氣體在晶圓之上表面上方流動、並流過該晶圓之邊緣; 使一處置氣體流過該晶圓之邊緣;以及 使包含沉積氣體的一製程氣體在晶圓之上表面上方流動、並流過該晶圓之邊緣,俾在該上表面上沉積薄膜,而不在該晶圓之邊緣上沉積薄膜。
  36. 如申請專利範圍第35項之用以處理半導體晶圓的方法,其中使該製程氣體流動之步驟包含在該晶圓之背側上抽真空。
  37. 如申請專利範圍第35項之用以處理半導體晶圓的方法,其中,在一邊緣排除環於抬升位置屏蔽該晶圓之邊緣的情況下使該製程氣體流動。
  38. 如申請專利範圍第37項之用以處理半導體晶圓的方法,其中,在該邊緣排除環處於下降位置的情況下使該處置氣體流動。
  39. 一種用以處理半導體晶圓的方法,包含: 使晶圓之上表面及邊緣區域暴露於一沉積氣體,以在該上表面上沉積均勻薄膜;以及 使該晶圓之該邊緣區域暴露於包含蝕刻劑的氣體。
TW108113695A 2018-04-20 2019-04-19 半導體處理用設備及方法 TWI822764B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862660872P 2018-04-20 2018-04-20
US62/660,872 2018-04-20

Publications (2)

Publication Number Publication Date
TW202002126A true TW202002126A (zh) 2020-01-01
TWI822764B TWI822764B (zh) 2023-11-21

Family

ID=68239942

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108113695A TWI822764B (zh) 2018-04-20 2019-04-19 半導體處理用設備及方法

Country Status (7)

Country Link
US (1) US20210375591A1 (zh)
JP (2) JP7407125B2 (zh)
KR (1) KR20200135554A (zh)
CN (1) CN112204725A (zh)
SG (1) SG11202010375QA (zh)
TW (1) TWI822764B (zh)
WO (1) WO2019204754A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI813094B (zh) * 2020-12-18 2023-08-21 美商應用材料股份有限公司 消除晶圓斜面和背側沉積的方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
TW202404985A (zh) * 2018-06-21 2024-02-01 美商英培雅股份有限公司 包含溶劑與單烷基錫三烷氧化物之混合物的溶液
CN115087758A (zh) 2020-02-11 2022-09-20 朗姆研究公司 用于控制晶片晶边/边缘上的沉积的承载环设计
KR20220142527A (ko) * 2020-02-21 2022-10-21 램 리써치 코포레이션 배면 반응 억제 가스
USD997893S1 (en) 2021-09-28 2023-09-05 Applied Materials, Inc. Shadow ring lift plate
USD997894S1 (en) 2021-09-28 2023-09-05 Applied Materials, Inc. Shadow ring lift assembly
USD1009817S1 (en) 2021-09-28 2024-01-02 Applied Materials, Inc. Shadow ring lift pin
WO2023092135A1 (en) * 2021-11-22 2023-05-25 Lam Research Corporation Edge rings for improved edge uniformity in semiconductor processing operations

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5476548A (en) * 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
JP3666952B2 (ja) * 1995-09-19 2005-06-29 アネルバ株式会社 Cvd装置
KR19980071011A (ko) * 1997-01-24 1998-10-26 조셉 제이. 스위니 고온 및 고 흐름 속도의 화학적 기상 증착 장치 및 관련증착 방법
JP4221071B2 (ja) * 1998-01-30 2009-02-12 キヤノンアネルバ株式会社 化学蒸着装置
US6040011A (en) * 1998-06-24 2000-03-21 Applied Materials, Inc. Substrate support member with a purge gas channel and pumping system
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
KR100881786B1 (ko) * 2000-12-27 2009-02-03 도쿄엘렉트론가부시키가이샤 처리 장치
JP4602598B2 (ja) * 2001-06-11 2010-12-22 キヤノンアネルバ株式会社 化学蒸着装置
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
WO2010016499A1 (ja) * 2008-08-05 2010-02-11 東京エレクトロン株式会社 載置台構造
WO2011114940A1 (ja) * 2010-03-16 2011-09-22 東京エレクトロン株式会社 成膜装置
JP6051919B2 (ja) * 2012-04-11 2016-12-27 東京エレクトロン株式会社 液処理装置
KR20130006691U (ko) * 2012-05-11 2013-11-20 노벨러스 시스템즈, 인코포레이티드 개선된 moer
JP6056403B2 (ja) * 2012-11-15 2017-01-11 東京エレクトロン株式会社 成膜装置
JP5800964B1 (ja) * 2014-07-22 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
KR102641441B1 (ko) * 2016-09-28 2024-02-29 삼성전자주식회사 링 어셈블리 및 이를 포함하는 척 어셈블리
JP6698001B2 (ja) * 2016-10-24 2020-05-27 東京エレクトロン株式会社 処理装置及びカバー部材

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI813094B (zh) * 2020-12-18 2023-08-21 美商應用材料股份有限公司 消除晶圓斜面和背側沉積的方法

Also Published As

Publication number Publication date
KR20200135554A (ko) 2020-12-02
JP7407125B2 (ja) 2023-12-28
US20210375591A1 (en) 2021-12-02
JP2024029003A (ja) 2024-03-05
WO2019204754A9 (en) 2020-01-02
TWI822764B (zh) 2023-11-21
WO2019204754A1 (en) 2019-10-24
CN112204725A (zh) 2021-01-08
JP2021522407A (ja) 2021-08-30
SG11202010375QA (en) 2020-11-27

Similar Documents

Publication Publication Date Title
TWI822764B (zh) 半導體處理用設備及方法
US11978666B2 (en) Void free low stress fill
KR102609125B1 (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
US9754824B2 (en) Tungsten films having low fluorine content
US20100144140A1 (en) Methods for depositing tungsten films having low resistivity for gapfill applications
US20220415711A1 (en) Backside reactive inhibition gas
JP2017069313A (ja) 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
US11225712B2 (en) Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack
TW202129049A (zh) 鉬填充
KR102632800B1 (ko) 금속 옥사이드를 환원시키고 제거함으로써 저 저항률 금속 콘택트들 및 상호접속부들을 형성하는 시스템들 및 방법들
US20230122846A1 (en) Feature fill with nucleation inhibition
CN110622283A (zh) 减少或消除钨膜中缺陷的方法
KR101356332B1 (ko) 낮은 저항 및 강한 미소-접착 특성을 가진 텅스텐 박막의 증착 방법
TW202240664A (zh) 特徵部中的鉬沉積
US20220349048A1 (en) Reducing line bending during metal fill process
TW202401671A (zh) 高縱橫比3d nand結構中的鎢字元線填充
TW202338134A (zh) 金屬填充中的傾斜襯墊
KR20230155949A (ko) 금속 충진 프로세스 동안 라인 벤딩 감소
CN117957636A (zh) 半导体处理期间的处理气体渐变