CN112204725A - 边缘排除控制 - Google Patents

边缘排除控制 Download PDF

Info

Publication number
CN112204725A
CN112204725A CN201980036351.9A CN201980036351A CN112204725A CN 112204725 A CN112204725 A CN 112204725A CN 201980036351 A CN201980036351 A CN 201980036351A CN 112204725 A CN112204725 A CN 112204725A
Authority
CN
China
Prior art keywords
wafer
gas
edge
ring
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980036351.9A
Other languages
English (en)
Inventor
阿南德·查德拉什卡
埃里克·H·伦茨
伦纳德·韦·丰·许
杰弗里·查尔斯·克莱文杰
河仁守
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN112204725A publication Critical patent/CN112204725A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)
  • Weting (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文提供了用于控制半导体晶片的边缘区域处的处理均匀度的方法和设备。在一些实施方案中,这些方法包含使边缘区域暴露于诸如蚀刻气体及/或抑制气体之类的处理气体。本文还提供了包括多个环件的排除环组件,其可实施以提供对在晶片边缘处的处理环境的控制。

Description

边缘排除控制
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
半导体处理中的一个挑战为在尽可能如所处理的晶片般大的广阔区域上实现处理均匀性。管控半导体晶片的边缘区域处的半导体处理环境面临特定挑战。尤其是,边缘区域处的不连续性可能使得均匀处理难以达成。此外,边缘区域提供了通往半导体下侧的流体流动通道。这使得工艺气体能进入半导体晶片的下侧,在该处可能发生不想要的处理。
这里提供的背景说明是出于总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
本公开的一个方面可以实现为一种设备,其包含:排除环组件,其被配置以用于标称直径D的半导体晶片的处理,该排除环组件包含:上部环形环件,其具有外直径和小于D的内直径;以及下部环形环件,其具有外直径和小于D的内直径,其中所述上部环形环件被设置在所述下部环形环件的上方,以在所述上部环形环件与所述下部环形环件之间限定环形气体流动通道。
在一些实施方案中,所述环形气体流动通道具有内直径和外直径、以及由所述上部环形环件与所述下部环形环件之间的间隙所限定的宽度,且其中在所述环形气体流动通道的所述内半径处的所述宽度小于在所述环形气体流动通道的所述外半径处的所述宽度。
在一些实施方案中,在所述下部环形环件的内直径处的在所述上部环形环件与所述下部环形环件之间的间隙小于在所述下部环形环件的外直径处的在所述上部环形环件与所述下部环形环件之间的间隙。
在一些实施方案中,在所述下部环形环件的内直径处的在所述上部环形环件与所述下部环形环件之间的间隙不大于0.1英寸。
在一些实施方案中,所述上部环形环件的内直径小于所述下部环形环件的内直径。
在一些实施方案中,所述上部环形环件包括上表面,所述上表面基本上与垂直于所述上部环形环件的中心轴的参考平面平行。在一些这样的实施方案中,所述下部环形环件还包含内缘和从所述内缘延伸至所述上表面的倾斜表面。在一些这样的实施方案中,所述下部环形环件包括上表面,所述上表面基本上与垂直于所述下部环形环件的中心轴的参考平面平行。在一些这样的实施方案中,所述上部环形环件还包括内缘和从所述内缘延伸至所述上表面的倾斜表面。在一些这样的实施方案中,所述上部环形环件的倾斜表面的倾斜度大于所述下部环形环件的倾斜表面的倾斜度。
在一些实施方案中,所述设备还包括基座,所述基座被配置成支撑所述半导体晶片,所述基座包括气体注入器,所述气体注入器被配置成在所述晶片的边缘区域处注入气体。
在一些实施方案中,所述设备还包含支撑所述排除环结构的基座,所述基座包含上表面以及限定气体通道的在所述上表面中的凹部。
在一些实施方案中,所述凹部与所述基座的中心相距距离Y,所述距离Y大于所述下部环形环件的内直径。
本公开的方面可以实现为一种沉积室,其包含:基座,其包含上表面和在所述上表面中的环形凹部,所述环形凹部被配置为与背侧气体源流体连接;排除环组件,其被安装于所述基座上,其中所述排除环组件包含具有内直径和外直径的上部环形环件,其中所述上部环形环件被设置于所述下部环形环件的上方,以在所述上部环形环件与所述下部环形环件之间限定下部环形气体流动通道;以及喷头,其被设置于所述基座和所述排除环组件的上方,以在所述喷头与所述上部环形环件之间限定上部环形气体流动通道。
本公开的方面可以实现为一种方法,其包含:在包括本文描述的排除环组件的所述沉积室中的所述基座上提供圆形晶片,所述圆形晶片具有标称直径D,其中D大于所述上部环形环件及所述下部环形环件的内直径,且其中所述排除环组件被设置于所述圆形晶片的外缘的上方;通过所述喷头而在所述圆形晶片上方提供工艺气体的径向流;以及通过所述基座中的所述环形凹部将背侧气体提供至所述圆形晶片的边缘。
在一些实施方案中,所述方法还包含由所述工艺气体沉积从所述圆形晶片的中心起至距所述圆形晶片的边缘至少2mm或至少1mm处的均匀膜。
本公开的另一个方面可以实现为一种方法,其包含:使晶片的边缘区域选择性地暴露于包含沉积抑制剂的气体;以及使所述晶片的上表面和边缘区域暴露于沉积气体,以在所述上表面上沉积膜。
在一些实施方案中,所述边缘区域上的沉积受到抑制,使得所述膜被选择性地沉积于所述上表面上。在一些实施方案中,所述膜在直至距所述晶片的边缘2mm处的范围内是均匀的。在一些实施方案中,所述膜在直至距所述晶片的边缘1mm处的范围内是均匀的。
在一些实施方案中,同时执行选择性地暴露于所述沉积抑制剂和暴露于所述沉积气体。在一些实施方案中,在暴露于所述沉积气体之前执行选择性地暴露于所述沉积抑制剂。
在一些实施方案中,所述方法还包括:在使所述晶片的边缘区域选择性地暴露于包含所述沉积抑制剂的气体之前,在所述上表面和所述边缘区域上沉积第一膜。在一些实施方案中,所述膜为含钨膜,且所述沉积抑制剂为含氮化合物。
在一些实施方案中,使晶片的边缘区域选择性地暴露于包含沉积抑制剂的气体包含:使氮化钛(TiN)暴露于所述气体。在一些实施方案中,所述晶片被设置于基座上,且所述边缘区域被设置在安装于所述基座上的边缘排除环的下方。在一些实施方案中,所述方法包括:使晶片的边缘区域选择性地暴露于包含沉积抑制剂的气体包含使气体通过所述基座而进入至所述边缘区域。
在一些这样的实施方案中,从使所述晶片的边缘区域选择性地暴露于包含所述沉积抑制剂的气体过渡至使所述晶片的上表面和边缘区域暴露于所述沉积气体以在所述上表面上沉积膜包括:使所述排除环与所述晶片之间的距离增加。
在一些实施方案中,所述方法还包括重复进行:使晶片的边缘区域选择性地暴露于包含沉积抑制剂的气体;和使所述晶片的上表面和边缘区域暴露于所述沉积气体以在所述上表面上沉积膜。
本公开的另一个方面实现为一种方法,其包括:在晶片的斜角的至少一部分和上表面上沉积成核层;选择性地处理所述晶片的所述斜角;以及在除了所述斜角以外的所述晶片各处上沉积本体层。
在一些实施方案中,选择性地处理所述斜角包括选择性地抑制所述斜角上的成核作用。在一些这样的实施方案中,选择性地处理所述斜角包括选择性地蚀刻在所述斜角上的所述成核层。在一些实施方案中,所述成核层和所述本体层为含钨膜。
本公开的另一方面可实现为一种方法,其包括:使包含沉积气体的工艺气体在晶片的上表面上方流动,并且流过所述晶片边缘;使处理气体流过所述晶片边缘;以及使包含沉积气体的工艺气体在晶片上表面上方流动,并且流过所述晶片边缘,以在所述上表面上沉积膜,而不在所述晶片边缘上沉积膜。
在一些实施方案中,使所述工艺气体流动包括在所述晶片的背侧上抽真空。在一些实施方案中,在边缘排除环于抬升位置屏蔽所述晶片的边缘的情况下使所述工艺气体流动。在一些这样的实施方案中,在所述边缘排除环处于下降位置的情况下使所述处理气体流动。
本公开的另一个方面涉及一种方法,其包括:使晶片的上表面和边缘区域暴露于沉积气体,以在所述上表面上沉积均匀膜;以及使晶片的边缘区域暴露于包含蚀刻剂的气体。
本公开的另一方面涉及制造排除环组件的方法,该排除环组件用于处理具有标称直径D的半导体衬底。该方法可涉及形成生坯并烧制生坯以形成陶瓷本体,其可根据情况而被研磨以形成排除环组件。在一些实施方案中,该排除环组件可包括上部环形环件,其具有外直径和小于D的内直径;以及下部环形环件,其具有外直径和小于D的内直径,其中该上部环形环件被设置于该下部环形环件的上方,以在该上部环形环件与该下部环形环件之间限定环形气体流动通道。可使该上部环形环件和该下部环形环件形成为可连接的单独组件、或形成为单件。根据许多实施方案,可由陶瓷(例如氧化铝(A12O3)或氮化铝(A1N))制造排除环组件。还提供了制造包括排除环组件的基座的方法。该方法可涉及制造排除环组件并将该排除环组件附着或以其它方式设置于基座表面上。
以下参照附图进一步描述这些及其他方面。
附图说明
图1A描绘了可用于本公开的实现方案中的安装于晶片支撑件上的排除环的示例的等角视图。
图1B显示了可用于本公开的实现方案中的排除环、晶片、及晶片支撑件的示例的等角分解图。
图1C及ID分别显示了可用于本公开的实现方案中的排除环的示例的仰视图及俯视图。
图1E显示了可用于本公开的实现方案中的排除环及晶片的放大剖面细节图。
图2显示了可用于本公开的实现方案中的包括背侧气体注入及排除环的基座的示例性配置的示意图。
图3显示了使用包括背侧气体注入及排除环的基座的示例性配置的在沉积期间的工艺气体及背侧气体流线的示意图。
图4A及4B为显示根据本公开的实现方案,调节晶片边缘处的沉积的方法的示例中的某些操作的程序流程图。
图5A及5B为显示根据本公开的实现方案,钨(W)或含W膜的沉积方法的示例中的某些操作的程序流程图。
图6A及6B显示根据针对图5A及5B所述的钨沉积方法的示例,在沉积处理期间的排除环600及晶片的示意性配置。
图7A及7B显示了可用于本公开的实现方案中的包括背侧气体注入及排除环的基座配置的示意图。
图8显示了根据本公开的实现方案,具有安装于衬底支撑件上的两个环件的示例性排除环组件。
图9A为图8中所示的排除环组件、基座、及晶片的等角剖视图,而图9B为图9A中的指示区域的详细视图。
图9C及9D显示了在不同喷头-上部环件间隙的情况下的具有两个环件的排除环组件的工艺气体流线的示意图。
图10A、10B、及10C分别显示了根据本公开的实现方案,具有两个环件的排除环组件的下部环件的示例的俯视图、侧视图、及仰视图。
图11A、11B及11C分别显示了根据本公开内容的实现方案,具有两个环件的排除环组件的上部环件的示例的俯视图、侧视图、及仰视图。
图12显示了根据本公开的实现方案,具有两个环件的环组件的示例在上部及下部环件的内周处的部分的详细视图。
图13显示了随沉积厚度而变化的钨(W)生长延迟时间的示例。
图14为根据本公开的实现方案,适用于沉积及处理工艺的处理室的示例的示意图。
图15为根据本公开的实现方案,适用于沉积及处理工艺的处理设备的示例的示意图。
具体实施方式
各种实施方案的示例在附图中显示并在下文中进一步描述。应理解,本文的讨论并非意图将权利要求限制于所述的特定实施方案。相反地,意指涵盖可包括于本公开的精神与范围内的置换、修改、与等同方案。在以下的描述中,说明了大量的特定细节,以便提供对所公开主题的彻底理解。在没有这些特定细节中的一些或全部的情况下即可实行主题的各种实现方案。在其他示例中,为了不使本文所述主题难以理解,公知的处理操作不会有详细描述。
本文提供了用于控制半导体晶片的边缘区域处的处理均匀度的方法及设备。在一些实施方案中,这些方法包含使边缘区域暴露于诸如蚀刻气体和/或抑制气体之类的处理气体。本文还提供了包括多个环件的排除环组件,其可实施以提供对在晶片边缘处的处理环境的控制。
在半导体处理期间使用排除环以实行本文的方法的实现方案。安装于晶片支撑件上的排除环(也称为最小重叠排除环或MOER)可用于管理沿着晶片边缘的气流及处理环境。可用于本文所述方法的实现方案中的排除环的示例在下文中参照图1A-1E进行描述。
在图1A中,描绘了安装于晶片支撑件上的排除环的等角视图。排除环100可用于管理沿着晶片101的边缘的气流及处理环境,该晶片101的边缘可由晶片支撑件103所支撑。图1B显示排除环100、晶片101、及晶片支撑件103的等角分解图。
图1C及1D分别示出了排除环的仰视图及俯视图。排除环100可被宽泛地描述为具有内直径120及外直径122的细环状环件。在一些实现方案中,排除环100可包括自环状环件102的外周在径向上突出的多个舌片104。排除环100的上表面106及下表面108(在此也可分别称为第一及第二表面)可基本上平行于与环状环件的中心轴垂直的参考平面。应理解,相关于排除环的术语“上”和“下”在本申请的情况下为相对性用语,其是指当排除环用于半导体处理环境中时表现为“上”和“下”的排除环的表面,而非在任何给定情况下由排除环的方向定义的任意的上和下。此外,可能有上表面106及下表面108的部分不与参考平面平行。例如,排除环100的下表面108可以凹部为特征,该凹部容许排除环100设置于半导体晶片上方而不靠在半导体晶片上,使得凹部的深度可大于半导体晶片的标称厚度。排除环100的内直径122可小于半导体晶片的标称直径,因此当用于本文所述方法中时,可能有一些半导体晶片与排除环100的径向重叠量,例如0.05”至0.5”之间。凹部可包含在大于半导体晶片的标称直径的中间直径内。下表面108的发生过渡至凹部的部分可倾斜,且因此过渡部分可代表下表面不平行于参考平面的受限区域。然而,整体来说,上表面106和下表面108可基本上平行于参考平面,使得表面的大部分径向距离平行于参考平面。上表面106和下表面108可以彼此偏移大于半导体晶片的标称厚度的距离。
排除环100的上表面106可包含倾斜部分,如图1E中所示,图1E显示晶片103和排除环100的边缘的放大剖面细节图的示例。如图所示,上表面106包括倾斜部分111。将晶片103设置于排除环100的凹部109中,以使晶片的边缘104直接设置于排除环100下方。晶片101的边缘104为斜角边缘,使得其从晶片的水平上表面倾斜。可避免在斜角上沉积材料,并同时在水平顶表面上维持良好的均匀度。例如,在沉积相对厚(例如
Figure BDA0002806093360000081
)的膜(例如钨)之后,可执行化学机械平坦化(CMP)处理以使该膜平坦化。避免斜角边缘上的沉积是有用的,因为斜角边缘上的沉积不会通过平坦化而去除。
在诸如钨之类的材料沉积中,排除环可用于调节晶片边缘处的沉积。图2显示了包括背侧气体注入及排除环的基座(或其他晶片支撑件)的示意图。可使背侧气体(例如氩(Ar)和/或氢(H2))流动,以防止沉积气体(例如六氟化钨/氢(WF6/H2)或氯化钨/氢(WClx/H2))到达晶片201的边缘。排除环200通过引导背侧气体在由排除环200、晶片支撑件203以及晶片201所建立的空间中在晶片201的边缘处的流动而防止背侧沉积。如图2所示,排除环200在晶片201上方延伸,且在晶片201的顶部与排除环200之间存在间隙。悬伸部、间隙、以及排除环200与喷头(未图示)之间的间隙中的一或多者、以及背侧气体的流率和类型可调节,以控制边缘处的沉积轮廓。
在图3所显示的示例中,在钨(W)的沉积中,如由排除环300下方的流线310所示的Ar/H2流将沉积气体(例如WF6/H2或WClx/H2)推回,从而避免其到达晶片301的边缘,并因此避免钨在边缘处的沉积。排除环300的轮廓使得来自喷头、在晶片上方径向地往外行进的气流的流线312在环件的周围向上弯曲。该向上弯曲使得靠近环件附近的晶片表面的WF6或其他钨前体气体的浓度减低。
图3中所描绘的技术对于排除晶片斜角上的钨沉积是有效的,且同时提供直至距边缘3mm处的均匀沉积。即,对于300mm的晶片(150mm的半径),图3中所示的技术提供距离晶片中心0至147mm的均匀的W沉积,并同时避免斜角边缘上的沉积。
图4A及4B为显示对晶片边缘处的沉积进行调节的某些操作的流程图。在特定实施方案中,边缘处的沉积受到抑制和/或去除,且同时提供直至距边缘一定距离处(包括直至距边缘2mm处、或直至距边缘1mm处)的均匀沉积。即,对于300mm的晶片,可提供距离晶片中心0至148mm(距边缘2mm处)或由0至149mm(距边缘1mm处)的均匀沉积,并同时避免斜角边缘上的沉积。距边缘1mm-3mm的范围内的其他值可利用本文所述的方法及设备而加以实施。根据许多实施方案,这些方法可用于在晶片上直至一定距离处提供低于1%的厚度不均匀性,其中不均匀性按照100%乘以(厚度的最大偏差(tmax-tmin)的一半除以平均厚度)来进行测量。
首先,在图4A中,方法400可用于抑制斜角边缘上的沉积。将晶片的边缘区域暴露于包含沉积抑制剂的气体(401)。对于钨或含钨膜的沉积,沉积抑制剂可为含氮化合物,例如氮(N2)、氨(NH3)、或联氨(N2H4)。该抑制作用可以是等离子体或热(非等离子体)处理。氨或联氨可用于热处理。在一些实施方案中,在250℃至450℃的范围内的温度下执行热抑制处理。在这些温度下,将先前形成的钨成核层暴露于NH3导致抑制效应。其他潜在抑制性化学品(例如氮(N2)或氢(H2))可在较高温度(例如900℃)下用于热抑制。然而,对于许多应用,这些高温超过热预算。含氢氮化剂(例如氨及联氨)可在适于后段工序(BEOL)应用的较低温度下加以使用。
在一些实施方案中,抑制作用可涉及抑制剂物质与特征表面之间的化学反应以形成诸如氮化硅(SiN)之类的化合物材料的薄层,或涉及诸如吸附之类的表面效应,该吸附使Si或其他表面钝化而不会形成化合物材料层。在一些实施方案中,薄钨层可存在于斜角表面上并形成氮化钨层。
在一些实施方案中,可在晶片上的成核层或本体层的沉积之前或之后施用抑制处置。例如,可于包括在暴露表面上的阻挡层(例如氮化钛(TiN)或氮化钨(WN)层)、钨成核层、或钨本体层的晶片上执行抑制处理。
使晶片的上表面暴露于沉积气体(403)。举例而言,可通过原子层沉积(ALD)或化学气相沉积(CVD)方法以进行沉积。在前者中,将晶片暴露于反应物气体的交替脉冲。在钨沉积的示例中,可使用诸如六氟化钨(WF6)、六氯化钨(WCl6)、五氯化钨(WCl5)、六羰钨(W(CO)6)、或含钨有机金属化合物之类的含钨前体。在一些实施方案中,含钨前体的脉冲与还原剂(例如氢(H2)、硼烷(B2H6)、硅烷(SiH4)、或锗烷(GeH4))一同脉冲。在CVD方法中,使晶片同时暴露于反应物气体。
在一些实施方案中,由于斜角边缘已被钝化,因此即使有些沉积气体到达斜角边缘,膜仍不会沉积于该处。在一些实施方案中,膜可能沉积,但相比于晶片上表面而更小程度地沉积。框403可在框401之后发生,或者框403可与框401部分或完全地重叠。
在图4B中,方法410可用于对沉积于斜角边缘上的膜进行蚀刻。框405涉及使晶片上表面暴露于反应物气体以沉积膜。举例而言,沉积作用可以是ALD或CVD方法。将晶片的边缘区域暴露于蚀刻剂(407)。例如,为了蚀刻钨膜,可使用三氟化氮(NF3)或分子氟(F2)。框407可在框405之后发生,或者框407可与框405部分或完全地重叠。
在一些实施方案中,一种方法可包含边缘抑制、均匀上表面沉积以及边缘蚀刻。可使这些操作中的任一者或整个循环重复一或多次以实现期望轮廓。
图5A和5B显示了钨(W)或含W膜的沉积方法。首先,在图5A中,在方法500中,使晶片暴露于反应物气体以在晶片各处沉积W成核层(501)。以下描述W成核层的沉积,且其可涉及脉冲成核层(PNL)或原子层沉积(ALD)处理。在一些实施方案中,在该阶段期间,晶片的斜角边缘上存在沉积。在晶片各处也存在均匀的沉积。根据许多实施方案,均匀沉积可至少到达距边缘阈值距离处,例如从晶片中心向外至少至距晶片边缘2mm处(距0.3mm斜角的1.7mm处)、或向外至少至距晶片边缘1mm处(距0.3mm斜角的0.7mm处)。
成核层是薄的,例如在晶片上表面上约
Figure BDA0002806093360000101
斜角边缘上的沉积(若存在)可为均匀或非连续的。接着,使晶片的边缘区域暴露于包含抑制化学品的气体(503)。以下进一步描述钨成核的抑制。对抑制气体的流动进行控制,以使晶片的上表面不暴露于抑制化学品。以下参照图6A、6B、7A以及7B而进一步描述用于控制气体的技术。框501和503分别为在根据图4A的处理中的框401和403的示例。
使晶片暴露于反应物气体以在上表面上沉积本体钨层(505)。以下描述W本体层的沉积,且其可涉及ALD或CVD处理。由于边缘斜角上的成核作用已受到抑制,在该处存在显著的成核延迟,从而防止钨成长。图13显示随沉积厚度而变化的W成长延迟时间。可看出,成长延迟是显著的,尤其是在诸如成核层之类的薄钨层上。晶片的上表面未受到抑制(至少向外至期望半径(例如距斜角0.7mm或1.7mm处)),且在其上具有均匀的沉积。
应注意,框505可在框503完成之后发生,或者可与框503完全或部分地重叠。如果其重叠,则边缘区域被暴露于抑制气体,且同时晶片顶部被暴露于沉积气体。该方式可在抑制气体对于沉积气体在化学上是惰性的、或可与沉积气体化学相容的情况下实行。
在图5B中,在操作501中,使晶片暴露于反应物气体以在晶片各处沉积W成核层,如以上针对图5A所描述的。接着,使边缘区域暴露于包含W蚀刻剂的气体以移除所沉积的膜(502)。以下描述W蚀刻剂化学品。对蚀刻剂气体的流动进行控制,以使晶片的上表面不会暴露于蚀刻剂化学品。以下参照图6A、6B、7A以及7B进一步描述用于控制气体的技术。框501和502分别为在根据图4B的处理中的框405和407的示例。
接着,在操作505中,使晶片暴露于反应物气体以在上表面上沉积本体钨层,如上文相对于图5A所述的。由于边缘斜角上的成核层已被移除以使下伏表面(例如氮化钛(TiN))暴露,因此钨不会在该处成长。晶片的上表面上具有均匀的沉积(至少向外至期望半径(例如距斜角0.7mm或1.7mm处))。
应注意,框505可在框502完成之后发生,或者可与框502完全或部分地重叠。如果其重叠,则边缘区域被暴露于蚀刻剂气体,且同时晶片顶部被暴露于沉积气体。
图6A显示了根据上文相对于图5A及5B所述的示例,沉积处理期间的排除环600和晶片的示意性配置。如所讨论的,真空用于将工艺气体流线引导至排除环600的下方及边缘的周围,而抑制及/或蚀刻剂气体来源用于处理边缘。受拉引而使流线向下的工艺气体量控制靠近边缘处的晶片均匀度,而处理气体量及/或其在空腔中脉冲的次数至少部分地控制斜角及背侧沉积。
首先,在整个晶片上(包括边缘)沉积W成核层。不像图3中所示的配置(在该配置中工艺气体流线312中的至少一些在排除环300周围弯曲),在该实施方案中,通过真空以将工艺气体中的至少一些拉至环件的下方。例如,该真空可被拉过具有径向真空能力的基座。结果为延伸至晶片各处(包括斜角处)的成核层620。接着,施用晶片边缘处理。该操作可发生于与成核层沉积相同或不同的工作站或室。此处,在边缘环下方加入抑制气体(例如NH3)或蚀刻剂气体(例如NF3)(也称为处理气体),以对边缘进行处置。可根据情况而通过背侧气体歧管以加入气体。惰性气体(例如Ar)流可用于防止抑制或蚀刻剂气体的扩散。在一些实施方案中,可将处理气体稀释。通过适当地控制真空、处理气体流率及浓度、排除环与晶片之间的间隙距离,而对暴露于处理气体的晶片区域进行控制(应注意,可在靠近边缘的任何适当位置处将处理气体加入,包括沿着背侧或通过环件的位置处)。
结果,边缘区域622受到抑制和/或蚀刻,但不抑制或蚀刻膜的上表面的其余部分。接着,再次施加真空以完全地沉积本体层624。虽然真空在晶片边缘周围将工艺气体往下拉(因此确保在上表面上直至至少阈值半径的均匀沉积),但钨膜不会在如上述的经抑制或经蚀刻的表面上成长。
图6B显示了根据上文相对于图5A和5B所述的示例,在沉积处理期间的排除环600和晶片的示意性配置的另一示例。该示例与图6A的示例相似,但可利用共同的真空实施,而不是利用被拉过基座的真空。在图6A中,真空用于将工艺气体流线引导至排除环600的下方及晶片边缘的周围,而抑制和/或蚀刻剂气体源用于处理边缘。受拉引而使流线向下的工艺气体量控制靠近边缘处的晶片均匀度,而处理气体量和/或其在空腔中脉冲的次数至少部分地控制斜角和背侧沉积。
在图6A中,在整个晶片的各处(包括边缘)沉积W成核层。此处,在排除环600被抬升远离晶片的情况下执行沉积。这使得共同真空拉动工艺气体至排除环600上方和下方。被拉动至排除环上方的工艺气体被拉动通过排除环600与喷头(未图示)之间的间隙。因此,可通过晶片与排除环600之间的间隙大小相对于排除环与喷头之间的间隙大小,来控制在排除环600下方流动的气体量,并因此控制边缘上的沉积。在图6B的示例中,结果为延伸至晶片各处(包括斜角处)的成核层620。接着,施用晶片边缘处理。该操作可发生于与成核层沉积相同或不同的工作站或室。此处,在排除环600下方加入抑制气体(例如NH3)或蚀刻剂气体(例如NF3)(也称为处理气体),以对边缘进行处理。可根据情况而通过背侧气体歧管加入气体。惰性气体(例如Ar)流可用于防止抑制或蚀刻剂气体的扩散。在一些实施方案中,可将处理气体稀释。此处,使排除环600相对于图6A中的抬升位置而下降。即,可使其完全下降、或下降至中间水平。这是为了防止处理气体流过晶片的其余部分。根据许多实施方案,气体可能或可能不通过共同真空而被拉动至晶片下方。通过适当地控制共同真空、处理气体流率及浓度、排除环600与晶片之间的间隙距离,而对暴露于处理气体的晶片区域进行控制。可在靠近边缘的任何适当位置处(包括沿着背侧或通过环的位置处)加入处理气体。
结果,边缘区域622受到抑制和/或蚀刻,但不抑制或蚀刻膜的上表面的其余部分。接着,将排除环600抬升以完全地沉积本体层624。虽然共同真空在晶片边缘周围将工艺气体往下拉(因此确保在上表面上直至至少阈值半径的均匀沉积),但钨膜不会在如上述的经抑制或经蚀刻的表面上生长。
图7A和7B为根据许多实施方案,用于实现边缘处理的额外配置的示意图。在图7A中,自背侧通过基座703加入处理置气体(例如NH3或NF3),如同图6A或6B。此处,排除环700为背侧处理气体提供物理屏障715,其在晶片701的斜角附近(例如自边缘起0至1mm之间或0至2mm之间)建立排除区。可使Ar或其他惰性气体在其他区域流动,以防止处理气体在排除区外扩散。在图7B中,可使处理气体从顶侧而非从背侧流动、或者可使处理气体除了从背侧之外还从顶侧流动,且Ar流动避免处理气体扩散。使喷头705延伸的隔板707可为朝向晶片中心的处理气体扩散提供物理屏障。
本文还提供了包括多个平面以引导工艺气体流的排除环及相关设备。图8显示了具有安装于衬底支撑件803上的两个环件的排除环组件800。衬底支撑件803被显示为支撑衬底(在该示例中为晶片803)。衬底支撑件803包含环形凹部844,其与背侧气体源流体连通,且背侧气体可流动通过环形凹部844。
排除环组件800包含下部和上部环件。下部环件引导背侧气体流动以防止背侧沉积、或背侧及边缘沉积,而上部环件引导在边缘处衬底附近的工艺气体以控制该处的沉积。以此方式,使得以下两者相脱钩:防止背侧及边缘沉积、以及均匀沉积直至均匀沉积所需要的阈值距离。根据多种实施方案,下部环件和上部环件可为相对于彼此而固定或可移动的。被引导至阈值的边缘的气体量可通过喷头805与上部环件之间的间隙而进行控制;通过将上部环件移动至更靠近喷头805,更多的流进入下部和上部环件之间的间隙,使得边缘处的沉积增加。以下进一步描述示例性实现方案边缘环组件。
图9A为图8中所示的排除环组件、基座以及晶片的等角剖视图,而图9B为图9A中的指示区域的详细视图。环组件900包含上部环件930及下部环件932,且被安装于基座903上。上部环件930与下部环件932偏离,以限定下部环形气体流动通道934。应注意,在下部环形气体流动通道934中,上部环件930与下部环件932之间可存在连接部(未图示);这些连接部可为足够小,以免对气流产生无法忽略的阻碍。可抽真空以拉动工艺气体通过下部环形气体流动通道934、以及喷头(未图示)与上部环件930之间。被引导至阈值的边缘的气体量可通过下部环形气体流动通道934与上部环件930和喷头之间的气体流动区域的相对大小而进行控制。对于上部环件930相对于下部环件932而固定的实现方案,气体量可通过喷头与上部环件930之间的间隙而进行控制:通过将上部环件930移动至更靠近喷头805,更多的流进入下部及上部环件之间的间隙,使得边缘处的沉积(或其他处理)增加。这在下文中相对于图9C及9D而进一步描述。
图9C和9D提供了在不同喷头-上部环件间隙的情况下的排除环组件900的工艺气体流线912的示意图。环组件900包含上部环件930和下部环件932,其可为相对于彼此而固定的。上部环件930与喷头905之间的环形间隙限定环形气体流动通道936。在图9C中,上部环件930距离喷头905比在图9D中更远;因此在图9C中比图9D中有更多工艺气体(如由工艺气体流线912所表示)被拉动通过环形气体通道936。在图9D中喷头905较靠近上部环件930的情况下,比图9C中喷头905较远离上部环件930的情况下,有更多工艺气体(如由工艺气体流线912所表示)被拉动通过下部环形气体通道934。因此,在图9D中,工艺气体的浓度在距边缘阈值距离处较大。阈值距离可为需要均匀处理的距离,如在图9C及9D中的点905处所示;点905为圆上的一点,该圆限定介于斜角与圆之间的排除区。可将气体注入以提供通过基座903中的环形凹部944的流;这可避免斜角及背侧上的沉积,如上所述。在某些实现方案中,可对其进行控制以避免排除区内的沉积,如上所述。以此方式,上部环形气体通道936与下部环形气体通道934的相对大小提供对排除环边界处的处理气体浓度(并因此对沉积或其他处理)的控制,其至少部分地与防止背侧及边缘处理相脱钩。在图9C及9D的示例中,可使基座-喷头距离改变,例如通过升高或降低基座而改变。
根据多种实施方案,如图8A、8B以及9A-9D中所述的包括上部和下部环件的环组件可用于将边缘区域暴露于抑制或蚀刻剂气体的上述方法的任一者中。在其他实施方案中,这样的环组件可用于没有选择性抑制或蚀刻边缘区域的方法中;即,环组件本身可提供对排除区边界处的处理气体浓度的充分控制,并且背侧气体防止边缘沉积,以提供均匀沉积和排除区中的可忽略沉积。根据许多实施方案,排除环组件可用于沉积处理中,以提供至少至距晶片边缘2mm或1mm处的低于1%的不均匀性,其中不均匀性以100%(厚度的最大偏差(tmax-tmin)的一半除以平均厚度)进行测量。
相对于图10和11而描述环组件的上部环件和下部环件的特征。首先,图10A、10B以及10C分别显示了下部环件1032的示例的俯视图、侧视图以及仰视图,下部环件1032具有内直径1020和外直径1022。图10A中的上表面中显示出三个凹部1070;这些凹部1070容纳上部环件的柱体。下部环件上可存在其他特征,例如相对于上述的排除环100所描述的舌片或其他特征。
图11A、11B以及11C分别显示上部环件1030的示例的俯视图、侧视图以及仰视图。显示自下表面突出的三个柱体1172;这些柱体1172配合于下部环件1032中的凹部1070内。上部环件上可存在其他特征,例如上文相对于排除环100所描述的那些特征。应理解,凹部可位于上部环件中且柱体位于下部环件中,或者这些环件可通过任何适当的连接部而物理地连接。
在一些实施方案中,上部环件的内直径1122小于下部环件的内直径1022,使得上部环件在下部环件上方向内延伸。在一些其他实施方案中,下部环件的内直径1022可小于上部环件的内直径1122。如果上部环件内直径(IDupper)相对于下部环件内直径(IDlower)而过大,则上部环件可能无法有效地引导工艺气体。如果上部环件内直径(IDupper)过小,则其在比所期望处更远离边缘处聚集气体。在一些实施方案中,对于300mm晶片的环组件,上部环件可延伸由比下部环件小0.04英寸至超过下部环件0.12英寸:
IDlower-0.12英寸≤IDupper≤IDlower+0.04英寸
应理解,这些参数可能有所变化,具体取决于包括晶片尺寸、环件之间的偏差等在内的因素。
图12显示了环组件1200在上部和下部环件的内周处的部分的详细视图。如上文相对于图1A-1E中的排除环100所述的,上部环件1230和下部环件1232中的每一个具有基本上平行的上和下(或第一和第二)表面。在图12中,上部环件1230具有上表面1206a和下表面1208a,其基本上彼此平行、且基本上与垂直于环形环件的中心轴的参考平面平行。下部环件1232具有上表面1206b和下表面1208b,其基本上与彼此平行、且基本上与垂直于环形环件的中心轴的参考平面平行。在图12的示例中,下部环件1232还包含凹部1209,其使得环组件1200能够被设置于晶片上方。
上部环件1230和下部环件1232中的每一个具有与其相应的上表面相邻的倾斜表面。上部环件1230包含倾斜上表面1211a,而下部环件包含倾斜上表面1211b。应注意,虽然上表面1206a和倾斜上表面121la被描绘为由边缘所分隔,但在一些所述方案中,在这些上表面之间会存在圆弧,如图1E所描绘的。因此,倾斜上表面121la可为上表面1206a的倾斜部分、或单独的表面。相似地,倾斜上表面121lb可为上表面1206b的倾斜部分、或单独的表面。上部环件1230的倾斜上表面121la的倾斜角大于下部环件1230的倾斜上表面1211b的倾斜角。上部环件1230的倾斜上表面1211a的示例性倾斜角是在与水平面呈15度至80度的范围内。下部环件1232的倾斜上表面1211b的示例性倾斜角是在与水平面呈1度至45度的范围内。
在图12的示例中,上部环件1230还具有倾斜下表面1213,其可为下表面1208a的倾斜部分、或由边缘所分隔的单独表面。环形气体流动通道1234可由倾斜下表面1213、下表面1208a、倾斜上表面1211b以及上表面1206b所限定。倾斜上表面1211b及倾斜下表面1213的倾斜度可使得上部及下部环件在环形气体通道1234的内开口处的偏差(D1)小于在环形气体通道的出口处的偏差(D2)。在图12的示例中,由于上表面1206b平行于下表面1208a,偏差D2与出口处的偏差相同。这是为了通过使上部环件靠近晶片表面而提供在上部环件的内端处的精细控制,并同时使在环形气体通道本身中的流动限制减小。在一示例中,距离D1可为0.062英寸,而D2可为0.125英寸。
在图12的示例中,上部环件1230包括内表面1214a,且下部环件包括内表面1214b。在一些实现方案中,可将这些内表面省略。以上各内表面的示例性尺寸可在0(如果不存在的话)至0.08英寸的范围内。
如上所述的排除环组件可为陶瓷材料,包括氧化铝或氮化铝。还提供了制造排除环组件的方法,并且其可包含由陶瓷粉末形成生坯、烧制生坯、并接着将其研磨以形成上述排除环组件中的任一者。可将上部和下部环件制造成单独的组件或单一的组件。可将排除环组件附着于基座、或在没有附着的情况下设置于基座上。在一些实施方案中,基座上的引导件可用于将排除环固持于适当位置。在一些实施方案中,基座可为铸造或焊接的、硬焊的以及机械加工的。可形成带有适当的引导件的基座。
虽然以上说明主要描述在钨沉积的背景下使用排除环,然而可实现这些排除环以用于以下处理:在不于边缘处进行处理或适当地处理边缘的情况下,使用任何相关半导体处理操作直至距晶片边缘阈值距离处的均匀处理。相关的处理操作包括工艺气体从室中的喷头径向分配的操作。处于连续流动状态的处理(对于这种处理,直至非常靠近衬底边缘的均匀性(而不于衬底边缘或背侧上沉积)是所期望的)可能是有利的。这些处理包含任何CVD或ALD操作,其包括传导或介电材料的沉积,这些传导或介电材料包括(但不限于)氮化钨(WN)以及碳化钨(WC))、含钛材料(例如钛(Ti)、氮化钛(TiN)、硅化钛(TiSi)、碳化钛(TiC)以及铝化钛(TiAl))、含铝材料、含镍材料、含钌材料、含钴材料、含钼材料等。
在一些实现方案中,本文所述方法涉及在沉积本体层之前沉积成核层。如上所述,将成核层沉积于整个晶片上,包括沉积于晶片斜面上。成核层通常为薄的保形层,其促进随后在其上沉积本体材料。例如,可在特征的任何填充之前和/或特征填充期间的后续时间点(例如经由互联件)于晶片表面上沉积成核层。例如,在一些实现方案中,可在蚀刻特征中的钨之后、以及初始钨沉积之前沉积成核层。
在某些实现方案中,使用脉冲成核层(PNL)技术以沉积成核层。在用于沉积钨成核层的PNL技术中,将还原剂、任选的清扫气体、以及含钨前体的脉冲依序地注入反应室、并从反应室中清扫掉。以循环方式重复该处理,直到达成期望厚度为止。PNL概括地包含依序加入反应物以在半导体衬底上进行反应的任何循环处理,包括原子层沉积(ALD)技术。用于沉积钨成核层的PNL技术在下列美国专利及专利公布中有所描述:美国专利No.6,635,965;No.7,005,372;No.7,141,494;No.7,589,017;No.7,772,114;No.7,955,972以及No.8,058,170、以及美国专利公布No.2010-0267235,在此将所有这些文献都通过引用全文并入。成核层厚度可取决于成核层沉积方法、以及本体沉积的期望质量。一般而言,成核层厚度足以支持高质量、均匀的本体沉积。示例可在
Figure BDA0002806093360000181
的范围内。
虽然在上文中提供了PNL沉积的示例,然而本文所述方法并不限于钨成核层沉积的特定方法,而是包括由下列方法中任一者所形成的钨成核层上的本体钨膜沉积:包括PNL、ALD、CVD以及物理气相沉积(PVD)。此外,在某些实现方案中,可在不使用成核层的情况下将本体钨直接沉积于特征中。例如,在一些实现方案中,特征表面和/或已沉积的下层支持本体钨的沉积。在一些实现方案中,可执行未使用成核层的本体钨沉积处理。例如,2012年7月27日提交的美国专利申请No.13/560,688(在此以引入方式并入)描述了无成核层的钨本体层的沉积。
在许多实现方案中,钨成核层沉积可涉及暴露于含钨前体,例如六氟化矿(WF6)、六氯化钨(WCl6)以及六羰钨(W(CO)6)。在某些实现方案中,含钨前体为含卤素化合物,例如WF6。也可使用有机金属化合物以及不含氟的前体,例如MDNOW(甲基环戊二烯二羰基亚硝酰基钨(methylcyclopentadienyl-dicarbonylnitrosyl-tungsten))及EDNOW(乙基环戊二烯二羰基亚硝酰基钨(ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten))。
还原剂的示例可包括包含二硼烷(B2H6)及其他硼烷的含硼还原剂、包含硅烷(SiH4)及其他硅烷的含硅还原剂、联氨、以及锗烷。在一些实现方案中,含钨前体的脉冲可与一或更多还原剂的脉冲交替,例如,S/W/S/W/B/W等,W代表含钨前体,S代表含硅前体,且B代表含硼前体。在一些实现方案中,可能不使用单独的还原剂,例如,含钨前体可能经历热或等离子体辅助分解。
根据多种实现方案,氢可能或可能不在背景中流动。另外,在一些实现方案中,在钨本体沉积之前,可在钨成核层的沉积之后进行一或更多处理操作。将所沉积的钨成核层处理为较低的电阻率在例如下列美国专利及专利公布中有所描述:美国专利No.7,772,114及No.8,058,170、以及美国专利公布No.2010-0267235,在此以引入方式并入。
此外,此处所述方法并不限于钨沉积,而是可实施以沉积其他材料,所述其他材料可用于沉积成核层,如下所述。
本体沉积
如上所述,可在整个晶片上执行钨的本体沉积。在许多实现方案中,可通过CVD处理以进行钨本体沉积,在CVD处理中使还原剂和含钨前体流入沉积室,以在特征中沉积本体填充层。惰性载气可用于输送反应物流中的一或多者,其可能或可能不是预先混合的。不像PNL或ALD处理,该操作通常涉及反应物连续地流动直到沉积了期望量为止。在某些实现方案中,CVD操作可在多个阶段中进行,其中反应物的连续及同时流动的多个时期被一或更多反应物流动被转向的时期所分隔开。
包括(但不限于)WF6、WCl6、及W(CO)6的许多含钨气体可用作含钨前体。在某些实现方案中,含钨前体为含卤素化合物,例如WF6。在某些实现方案中,还原剂为氢气,但可使用其他还原剂,包括硅烷(SiH4)、二硅烷(Si2H6)、联氨(N2H4)、二硼烷(B2H6)以及锗烷(GeH4)。在许多实现方案中,在CVD处理中将氢气用作还原剂。在一些其他实现方案中,可使用可分解以形成本体钨层的钨前体。也可使用包括ALD处理的其他类型的处理来进行本体沉积。
温度的示例可在200℃至500℃的范围内。根据多种实现方案,本文所述的CVD W操作中的任一者可采用低温CVD W填充(例如在约250℃至350℃、或约300℃下)。
沉积可根据多种实现方案而继续进行,直到实现某特征轮廓、实现某晶片边缘轮廓和/或沉积一定量的钨为止。在一些实现方案中,可通过模型化和/或试误法以确定沉积时间及其他相关参数。在一些实现方案中,处理室可配备有各种传感器,以执行用于沉积操作的终点检测的原位计量测量。原位计量的示例包括用于确定所沉积的膜厚度的光学显微术及X-射线荧光(XRF)。
应理解,本文所述的钨膜可包括若干量的其他化合物、掺杂物及/或杂质(例如氮、碳、氧、硼、磷、硫、硅、锗等),其取决于所使用的特定前体及处理。膜中的钨含量可在20%至100%(原子百分比)钨的范围内。在许多实现方案中,膜为富含钨的,其具有至少50%(原子百分比)的钨、或甚至至少约60%、75%、90%、或99%(原子百分比)的钨。在一些实现方案中,膜可为金属或元素钨(W)与其他含钨化合物(例如碳化钨(WC)、氮化鹄(WN)等)的混合物。
这些材料的CVD及ALD沉积可包含使用任何适当的前体。例如,氮化钨的CVD及ALD沉积可包括使用含卤素及无卤素的含钨及含氮化合物,如下进一步描述的。含钛层的CVD及ALD沉积可包括使用含钛的前体,其中示例包含四(二甲氨基)钛(TDMAT)及氯化钛(TiCl4)以及(如果合适的)一或更多共反应物。含钽层的CVD及ALD沉积可包括使用诸如五(二甲氨基)钽(PDMAT)及TaF5的前体以及(如果合适的)一或更多共反应物。含钴层的CVD及ALD沉积可包括使用前体,诸如三(2,2,6,6-四甲基-3,5-庚二酮酸)钴、双(环戊二烯基)钴以及二钴六羰基丁基乙炔以及一或更多共反应物。含镍层的CVD及ALD沉积可包括使用诸如环戊二烯基烯丙基镍(CpAllylNi)及MeCp2Ni之类的前体。钼的CVD及ALD沉积可包括使用诸如六氟化钼(MoF6)、五氯化钼(MoCl5)、二氯二氧化钼(MoO2Cl2)、四氯氧化钼(MoOCl4)以及六羰钼(Mo(CO)6)的前体。共反应物的示例可包括N2、NH3、N2H4、N2H6、SiH4、Si3H6、B2H6、H2以及AlCl3
钨蚀刻
可通过使钨暴露于可与钨反应的一或更多蚀刻剂物质而进行钨蚀刻。蚀刻剂物质的示例包括卤素物质及含卤素物质。可用于去除含钨材料的初始蚀刻剂物质的示例包括三氟化氮(NF3)、四氟甲烷(CF4)、四氟乙烯(C2F4)、六氟乙烷(C2F6)、和八氟丙烷(C3F8)、三氟甲烷(CHF3)、三氟氯甲烷(CF3Cl)、六氟化硫(SF6)以及分子氟(F2)。在一些实现方案中,这些物质可被活化且包含自由基和/或离子。例如,可使初始蚀刻剂物质流过远程等离子体产生器和/或经受原位等离子体。然而,对于上文相对图5B、图6、图7A以及7B而描述的实现方案,通常使钨暴露于非等离子体蚀刻剂蒸气。
除了以上所提供的示例之外,任何公知的蚀刻剂化学品也可用于蚀刻非含钨膜以及含钨膜。例如,含氟化合物(例如NF3)可用于蚀刻含钛化合物(例如TiN及TiC)。在一些实现方案中,含氯化合物(例如Cl2及BCl3)可用于例如蚀刻TiAl、TiAlN、含镍化合物以及含钴化合物。
根据许多实现方案,有些或全部的蚀刻操作可在执行其他操作(包括沉积和/或处理操作)的相同室中执行、或在专用的蚀刻室中执行。在许多实现方案中,执行蚀刻直到去除所沉积的钨的某些特性、或实现某些轮廓为止。例如,可执行蚀刻直到去除斜角处的钨成核层为止。在一些实现方案中,可通过特定边缘几何结构及受蚀刻的沉积的钨的轮廓和量的模型化和/或试误(trial and error),而决定特定蚀刻处理参数的蚀刻终点。在一些实现方案中,处理室可配备有各种传感器以执行原位计量测量,以识别去除程度。原位计量的示例包括用于测定膜厚度的光学显微术及XRF。此外,红外线(IR)光谱术可用于检测在蚀刻期间所产生的氟化钨(WFx)或其他副产物的量。在一些实现方案中,下层可用作蚀刻停止层。光放射光谱术(OES)也可用于监测蚀刻。根据许多实现方案,钨的蚀刻可能针对下层较优先或较不优先(或非优先)。例如,蚀刻可能对具有例如Ti或TiN下层用作蚀刻停止层的W是优先的。在一些实现方案中,蚀刻可对具有下伏电介质用作蚀刻停止层的W及Ti或TiN进行蚀刻。
钨成核的抑制
如美国专利公布No.20170365513中所述,抑制可涉及暴露于活化物质,其使特征表面钝化。提供热抑制处理。热抑制处理一般涉及使特征暴露于含氮化合物(例如氨(NH3)或联氨(N2H4)),以非保形地抑制特征开口附近的特征。在一些实施方案中,在250℃至450℃的范围内的温度下执行热抑制处理。在这些温度下,使先前形成的钨成核层暴露于NH3引起抑制效应。其他潜在抑制性化学品(例如氮(N2)或氢(H2))可在较高温度(例如900℃)下用于热抑制。然而,对于许多应用,这些高温超过热预算。除了氨之外,其他含氢氮化剂(例如联氨)也可在适于后段工序(BEOL)应用的较低温度下使用。
表面氮化可使其钝化。相较于常规的本体钨膜,在经氮化表面上的后续的钨沉积显著延迟。除了NF3之外,还可使用氟碳化物(例如CF4或C2F8)。然而,在某些实现方案中,抑制物质为不含氟的,以避免选择性抑制期间的蚀刻。
除了钨表面之外,可在衬层/阻挡层表面(例如TiN和/或WN表面)上抑制成核。可使用抑制这些表面的任何化学品。抑制化学品也可用于调整抑制轮廓,其中使用不同比例的活性抑制物质。例如,对于W表面的抑制,氮可具有比氢更强的抑制效果;调整成形气体中的N2与H2气体的比例的操作可用于调整轮廓。
在某些实现方案中,可在进行抑制之前将衬底加热或冷却。可选择衬底的预定温度,以诱发特征表面与抑制物质之间的化学反应和/或促进抑制物质的吸附、以及控制反应或吸附的速率。例如,可选择温度以具有高反应速率,使得气体源附近发生更多抑制作用。
在一些实施方案中,抑制作用可涉及热抑制剂物质与特征表面之间的化学反应,以形成WN化合物材料的薄层。在一些实施方案中,抑制作用可涉及使表面钝化而不形成化合物材料层的表面效应,例如吸附。
如果钨成核层存在,则可使其暴露于NH3或其他抑制蒸气,以选择性地在晶片边缘处抑制晶片。在一些实施方案中,如果本体钨或含钨层存在,则可采用还原剂/含钨前体/含氮抑制化学品,以在本体层上形成WN。可按顺序(例如,B2H6/WF6/NH3脉冲)或同时将这些反应物导入。可使用任何适当的还原剂(例如二硼烷或硅烷)及任何适当的含钨前体(例如六氟化钨或六羰钨)。
虽然以上说明聚焦于钨沉积,但本公开的各方面也可在沉积其他材料的情况中实施。例如,可执行使用其他材料的边缘排除控制,这些材料包括其他含钨材料(例如氮化钨(WN)及碳化钨(WC))、含钛材料(例如钛(Ti)、氮化钛(TiN)、硅化钛(TiSi)、碳化钛(TiC)以及铝化钛(TiAl))、含钽材料(例如钽(Ta)、氮化钽(TaN))、及含镍材料(例如镍(Ni)以及硅化镍(NiSi))。例如,可使用含氮气体以进行钴材料的抑制。
设备
本文所提出的方法可在可购自各种供货商的各种类型的沉积设备中实现。合适设备的示例包括Novellus Concept-1ALTUSTM、Concept 2ALTUSTM、Concept-2ALTUS-STM、Concept 3ALTUSTM沉积系统以及ALTUS MaxTM或各种其他可商购获得的化学气相沉积(CVD)工具中的任一者。在单站及多站沉积设备中的工作站可用于执行上述方法。
图14显示了可根据前述各种方法而使用的设备1460。沉积站1400具有在沉积期间支撑晶片的衬底支撑件1403。示出了排除环1400及喷头1405。如上所述,可将工艺气体馈送通过喷头1405,其中衬底支撑件配备有真空,且在一些实施方案中配备有如上所示的处置气体源。
在各种实施方案中,气体传感器、压力传感器、温度传感器等可用于提供关于工作站状态的信息。可在沉积期间监测的工作站传感器的示例包括质量流量控制器、压力传感器(例如压力计)、位于基座中的热电偶、及红外线检测器,以监测工作站中的一或多种气体的存在。在某些实施方案中,使用控制器1474以控制工作站的工艺条件。以下参照图15而进一步讨论关于控制器类型的细节,且关于此图的讨论适用于工作站以及室的控制器。传感器(例如1476)可用于向控制器1474提供信息。
图15显示了可与某些实施方案一同使用的多站设备的示例。设备1500包括处理室1501,其容纳一些工作站。处理室可容纳至少两个工作站、或至少三个工作站、或至少四个工作站或更多。图15显示了具有四个工作站1531、1532、1533以及1534的设备1500。在一些实施方案中,具有处理室1501的多站设备1500中的所有工作站可被暴露于相同的压力环境,该压力环境由系统控制器1574控制。传感器(未图示)还可包括压力传感器以提供室压力读数。然而,每一工作站可具有单独的温度条件或其他条件。
在沉积处理中,通常待处理的晶片通过加载锁而进入工作站1531。在此站,可执行钨成核层沉积处理。晶片可接着被转移至工作站1532,以进行如上述的边缘处置。接着可在工作站1533及1534执行CVD沉积。替代地,可保留一个站以进行边缘蚀刻。
系统控制器1574可控制转移、工作站以及处理室的状态,例如室的压力。系统控制器1574(其可包括一或更多实体或逻辑控制器)控制处理室1500的一些或全部的操作。系统控制器1574可包括一个或多个存储器装置以及一个或多个处理器。在一些实现方案中,系统控制器1574为系统的部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理装置,该半导体处理装置包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以集成到系统控制器中,该控制器可以控制一个或多个系统的各种组件或子部件。根据处理参数和/或系统的类型,系统控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,系统控制器可以定义为具有接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个执行程序指令(例如,软件)的微处理器或微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方(recipe)的一部分,该配方用于在制备或去除晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个工艺步骤。
在一些实现方式中,系统控制器可以是计算机的一部分或者与该计算机耦合,所述计算机与系统集成、与系统耦合、或换句话讲通过网络连接系统或它们的组合。例如,控制器可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以跟随当前的工艺或者开始新的工艺。在一些示例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,所述网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,系统控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个工艺步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,系统控制器可以例如通过包括一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的一个示例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路,它们结合以控制室上的工艺。
示例的系统可以包括但不限于:等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具(cluster tool)、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
图案化方法/设备
上文所述的设备/方法可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,但不是必然地,这种工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或全部,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件(即,衬底)上涂覆光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或UV或x-射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。

Claims (39)

1.一种设备,其包含:
排除环组件,其被配置以用于标称直径D的半导体晶片的处理中,该排除环组件包含:
上部环形环件,其具有外直径和小于D的内直径;以及
下部环形环件,其具有外直径和小于D的内直径,其中所述上部环形环件被设置在所述下部环形环件的上方,以在所述上部环形环件与所述下部环形环件之间限定环形气体流动通道。
2.根据权利要求1所述的设备,其中所述环形气体流动通道具有内直径和外直径、以及由所述上部环形环件与所述下部环形环件之间的间隙所限定的宽度,并且其中在所述环形气体流动通道的所述内半径处的所述宽度小于在所述环形气体流动通道的所述外半径处的所述宽度。
3.根据权利要求1所述的设备,其中在所述下部环形环件的内直径处的在所述上部环形环件与所述下部环形环件之间的间隙小于在所述下部环形环件的外直径处的在所述上部环形环件与所述下部环形环件之间的间隙。
4.根据权利要求1所述的设备,其中在所述下部环形环件的内直径处的在所述上部环形环件与所述下部环形环件之间的间隙不大于0.1英寸。
5.根据权利要求1所述的设备,其中所述上部环形环件的内直径小于所述下部环形环件的内直径。
6.根据权利要求1所述的设备,其中所述上部环形环件包含上表面,所述上表面基本上与垂直于所述上部环形环件的中心轴的参考平面平行。
7.根据权利要求6所述的设备,其中所述上部环形环件还包含内缘和从所述内缘延伸至所述上表面的倾斜表面。
8.根据权利要求7所述的设备,其中所述下部环形环件包含上表面,所述上表面基本上与垂直于所述下部环形环件的中心轴的参考平面平行。
9.根据权利要求8所述的设备,其中所述上部环形环件还包含内缘和从所述内缘延伸至所述上表面的倾斜表面。
10.根据权利要求9所述的设备,其中所述上部环形环件的倾斜表面的倾斜度大于所述下部环形环件的倾斜表面的倾斜度。
11.根据权利要求1所述的设备,其还包含基座,所述基座被配置成支撑所述半导体晶片,所述基座包括气体注入器,所述气体注入器被配置成在所述半导体晶片的边缘区域处注入气体。
12.根据权利要求1所述的设备,其还包含支撑所述排除环组件的基座,所述基座包括上表面以及限定气体通道的在所述上表面中的凹部。
13.根据权利要求12所述的设备,其中所述凹部与所述基座的中心相距距离Y,所述距离Y大于所述下部环形环件的内直径。
14.一种沉积室,其包括:
基座,其包含上表面和在所述上表面中的环形凹部,所述环形凹部被配置为与背侧气体源流体连接;
排除环组件,其被安装于所述基座上,其中所述排除环组件包含具有内直径和外直径的上部环形环件以及具有内直径和外直径的下部环形环件,其中所述上部环形环件被设置于所述下部环形环件的上方,以在所述上部环形环件与所述下部环形环件之间限定下部环形气体流动通道;以及
喷头,其被设置于所述基座和所述排除环组件的上方,以在所述喷头与所述上部环形环件之间限定上部环形气体流动通道。
15.一种方法,其包含:
在根据权利要求14所述的沉积室中的所述基座上提供圆形晶片,所述圆形晶片具有标称直径D,其中D大于所述上部环形环件及所述下部环形环件的内直径,并且其中所述排除环组件被设置于所述圆形晶片的外缘的上方;
通过所述喷头而在所述圆形晶片上方提供工艺气体的径向流;以及
通过所述基座中的所述环形凹部将背侧气体提供至所述圆形晶片的外缘。
16.根据权利要求15所述的方法,其还包含由所述工艺气体沉积从所述圆形晶片的中心起至少至距所述圆形晶片的边缘2mm处的均匀膜。
17.根据权利要求16所述的方法,其中沉积所述均匀膜至少至距所述圆形晶片的边缘1mm处。
18.一种方法,其包含:
使晶片的边缘区域选择性地暴露于包含沉积抑制剂的气体;以及
使所述晶片的上表面和边缘区域暴露于沉积气体,以在所述上表面上沉积膜。
19.根据权利要求18所述的方法,其中所述边缘区域上的沉积受到抑制,使得所述膜被选择性地沉积于所述上表面上。
20.根据权利要求18所述的方法,其中所述膜在直至距所述晶片的边缘2mm处的范围内是均匀的。
21.根据权利要求18所述的方法,其中所述膜在直至距所述晶片的边缘1mm处的范围内是均匀的。
22.根据权利要求18所述的方法,其中,同时执行选择性地暴露于所述沉积抑制剂和暴露于所述沉积气体。
23.根据权利要求18所述的方法,其中,在暴露于所述沉积气体之前执行选择性地暴露于所述沉积抑制剂。
24.根据权利要求18所述的方法,其还包含:在使所述晶片的边缘区域选择性地暴露于包含所述沉积抑制剂的气体之前,在所述上表面和所述边缘区域上沉积第一膜。
25.根据权利要求18所述的方法,其中所述膜为含钨膜,且所述沉积抑制剂为含氮化合物。
26.根据权利要求18所述的方法,其中使晶片的边缘区域选择性地暴露于包含沉积抑制剂的气体包含:使氮化钛(TiN)暴露于所述气体。
27.根据权利要求18所述的方法,其中所述晶片被设置于基座上,且所述边缘区域被设置在安装于所述基座上的边缘排除环的下方。
28.根据权利要求27所述的方法,其中使晶片的边缘区域选择性地暴露于包含沉积抑制剂的气体包含使气体通过所述基座而进入至所述边缘区域。
29.根据权利要求27所述的方法,其中,从使所述晶片的边缘区域选择性地暴露于包含所述沉积抑制剂的气体过渡至使所述晶片的上表面和边缘区域暴露于所述沉积气体以在所述上表面上沉积膜包含:使所述边缘排除环与所述晶片之间的距离增加。
30.根据权利要求18所述的方法,其还包含重复进行:使晶片的边缘区域选择性地暴露于包含沉积抑制剂的气体,和使所述晶片的上表面和边缘区域暴露于所述沉积气体以在所述上表面上沉积膜。
31.一种方法,其包含:
在晶片的斜角的至少一部分和上表面上沉积成核层;
选择性地处理所述晶片的所述斜角;以及
在除了所述斜角以外的所述晶片各处上沉积本体层。
32.根据权利要求31所述的方法,其中选择性地处理所述斜角包含选择性地抑制所述斜角上的成核作用。
33.根据权利要求31所述的方法,其中选择性地处理所述斜角包含选择性地蚀刻在所述斜角上的所述成核层。
34.根据权利要求33所述的方法,其中所述成核层和所述本体层为含钨膜。
35.一种方法,其包含:
使包含沉积气体的工艺气体在晶片上表面的上方流动,并且流过所述晶片边缘;
使处理气体流过所述晶片边缘;以及
使包含沉积气体的工艺气体在晶片上表面的上方流动,并且流过所述晶片边缘,以在所述上表面上沉积膜,而不在所述晶片边缘上沉积膜。
36.根据权利要求35所述的方法,其中使所述工艺气体流动包含在所述晶片的背侧上抽真空。
37.根据权利要求35所述的方法,其中,在边缘排除环于抬升位置屏蔽所述晶片边缘的情况下使所述工艺气体流动。
38.根据权利要求37所述的方法,其中,在所述边缘排除环处于下降位置的情况下使所述处理气体流动。
39.一种方法,其包含:
使所述晶片的上表面和边缘区域暴露于沉积气体,以在所述上表面上沉积均匀膜;以及
使晶片的边缘区域暴露于包含蚀刻剂的气体。
CN201980036351.9A 2018-04-20 2019-04-19 边缘排除控制 Pending CN112204725A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862660872P 2018-04-20 2018-04-20
US62/660,872 2018-04-20
PCT/US2019/028362 WO2019204754A1 (en) 2018-04-20 2019-04-19 Edge exclusion control

Publications (1)

Publication Number Publication Date
CN112204725A true CN112204725A (zh) 2021-01-08

Family

ID=68239942

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980036351.9A Pending CN112204725A (zh) 2018-04-20 2019-04-19 边缘排除控制

Country Status (7)

Country Link
US (1) US20210375591A1 (zh)
JP (2) JP7407125B2 (zh)
KR (1) KR20200135554A (zh)
CN (1) CN112204725A (zh)
SG (1) SG11202010375QA (zh)
TW (1) TWI822764B (zh)
WO (1) WO2019204754A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
WO2019246254A1 (en) * 2018-06-21 2019-12-26 Inpria Corporation Stable solutions of monoalkyl tin alkoxides and their hydrolysis and condensation products
KR20220012999A (ko) 2020-02-11 2022-02-04 램 리써치 코포레이션 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들
KR20220142527A (ko) * 2020-02-21 2022-10-21 램 리써치 코포레이션 배면 반응 억제 가스
US20220199373A1 (en) * 2020-12-18 2022-06-23 Applied Materials, Inc. Methods to eliminate of deposition on wafer bevel and backside
USD997893S1 (en) 2021-09-28 2023-09-05 Applied Materials, Inc. Shadow ring lift plate
USD997894S1 (en) 2021-09-28 2023-09-05 Applied Materials, Inc. Shadow ring lift assembly
USD1009817S1 (en) 2021-09-28 2024-01-02 Applied Materials, Inc. Shadow ring lift pin
TW202341341A (zh) * 2021-11-22 2023-10-16 美商蘭姆研究公司 用於改善半導體處理操作的邊緣均勻度之邊緣環

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0982653A (ja) * 1995-09-19 1997-03-28 Anelva Corp Cvd装置
US20010042514A1 (en) * 2000-05-17 2001-11-22 Shigeru Mizuno CVD apparatus
JP2002363756A (ja) * 2001-06-11 2002-12-18 Anelva Corp 化学蒸着装置
CN1930322A (zh) * 2004-03-05 2007-03-14 应用材料公司 减少斜壁沉积的硬件设备

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5476548A (en) * 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring
KR19980071011A (ko) * 1997-01-24 1998-10-26 조셉 제이. 스위니 고온 및 고 흐름 속도의 화학적 기상 증착 장치 및 관련증착 방법
US6296712B1 (en) * 1997-12-02 2001-10-02 Applied Materials, Inc. Chemical vapor deposition hardware and process
JP4221071B2 (ja) * 1998-01-30 2009-02-12 キヤノンアネルバ株式会社 化学蒸着装置
US6040011A (en) * 1998-06-24 2000-03-21 Applied Materials, Inc. Substrate support member with a purge gas channel and pumping system
WO2002052062A1 (fr) * 2000-12-27 2002-07-04 Tokyo Electron Limited Dispositif de traitement
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
JP5699425B2 (ja) * 2008-08-05 2015-04-08 東京エレクトロン株式会社 載置台構造及び成膜装置
WO2011114940A1 (ja) * 2010-03-16 2011-09-22 東京エレクトロン株式会社 成膜装置
JP6051919B2 (ja) * 2012-04-11 2016-12-27 東京エレクトロン株式会社 液処理装置
KR20130006691U (ko) * 2012-05-11 2013-11-20 노벨러스 시스템즈, 인코포레이티드 개선된 moer
KR101937692B1 (ko) * 2012-10-09 2019-01-14 주식회사 원익아이피에스 기판 지지 장치 및 기판 처리 장치
JP6056403B2 (ja) * 2012-11-15 2017-01-11 東京エレクトロン株式会社 成膜装置
JP5800964B1 (ja) * 2014-07-22 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
KR102641441B1 (ko) * 2016-09-28 2024-02-29 삼성전자주식회사 링 어셈블리 및 이를 포함하는 척 어셈블리
JP6698001B2 (ja) * 2016-10-24 2020-05-27 東京エレクトロン株式会社 処理装置及びカバー部材

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0982653A (ja) * 1995-09-19 1997-03-28 Anelva Corp Cvd装置
US20010042514A1 (en) * 2000-05-17 2001-11-22 Shigeru Mizuno CVD apparatus
JP2002363756A (ja) * 2001-06-11 2002-12-18 Anelva Corp 化学蒸着装置
CN1930322A (zh) * 2004-03-05 2007-03-14 应用材料公司 减少斜壁沉积的硬件设备

Also Published As

Publication number Publication date
US20210375591A1 (en) 2021-12-02
JP2021522407A (ja) 2021-08-30
TWI822764B (zh) 2023-11-21
JP2024029003A (ja) 2024-03-05
TW202002126A (zh) 2020-01-01
WO2019204754A9 (en) 2020-01-02
SG11202010375QA (en) 2020-11-27
KR20200135554A (ko) 2020-12-02
JP7407125B2 (ja) 2023-12-28
WO2019204754A1 (en) 2019-10-24

Similar Documents

Publication Publication Date Title
TWI822764B (zh) 半導體處理用設備及方法
US11978666B2 (en) Void free low stress fill
KR102609125B1 (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
KR102678471B1 (ko) 저 불소 함량을 가진 텅스텐 막들
CN111357083A (zh) 自限制生长
US20180240675A1 (en) Forming low resistivity fluorine free tungsten film without nucleation
US20220415711A1 (en) Backside reactive inhibition gas
KR20220082023A (ko) 몰리브덴 충진
KR102632800B1 (ko) 금속 옥사이드를 환원시키고 제거함으로써 저 저항률 금속 콘택트들 및 상호접속부들을 형성하는 시스템들 및 방법들
US20230122846A1 (en) Feature fill with nucleation inhibition
US20230130557A1 (en) Reactant gas pulse delivery
CN113169056A (zh) 用于钨的钼模板
TW202240664A (zh) 特徵部中的鉬沉積
US20220349048A1 (en) Reducing line bending during metal fill process
US20240234208A1 (en) Void free low stress fill
TWI847146B (zh) 用於遠程電漿程序之腔室調節方法
TW202401671A (zh) 高縱橫比3d nand結構中的鎢字元線填充
TW202338134A (zh) 金屬填充中的傾斜襯墊
CN117957636A (zh) 半导体处理期间的处理气体渐变

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination