TW201928732A - 半導體系統和半導體方法 - Google Patents

半導體系統和半導體方法 Download PDF

Info

Publication number
TW201928732A
TW201928732A TW107142818A TW107142818A TW201928732A TW 201928732 A TW201928732 A TW 201928732A TW 107142818 A TW107142818 A TW 107142818A TW 107142818 A TW107142818 A TW 107142818A TW 201928732 A TW201928732 A TW 201928732A
Authority
TW
Taiwan
Prior art keywords
mapper
semiconductor processing
fixture
semiconductor
processing table
Prior art date
Application number
TW107142818A
Other languages
English (en)
Inventor
胡政綱
陳正宏
劉旭水
白峻榮
莊勝翔
郭守文
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201928732A publication Critical patent/TW201928732A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/188Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by special applications and not provided for in the relevant subclasses, (e.g. making dies, filament winding)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

本發明實施例公開了半導體系統和半導體方法。在一實施例中,半導體系統包含:測繪器,配置成沿半導體台的表面檢測變化;以及夾具,配置成沿半導體台上方的軸線移動測繪器。

Description

半導體系統和半導體方法
本發明實施例是關於半導體系統和半導體方法。
現代的組裝線製造過程通常高度自動化以操縱材料和元件且形成成品。品質控制製程通常依賴於人類技能、知識和專業技術以在製造期間以及成品時檢查製品。
當前用於加工半導體元件的組裝線製程採用依賴於由一或多個工程師及/或組裝線操作人員手動處理的檢查技術。這些檢查技術通常利用手動移動以覆蓋所研究區域的感測器(sensor)。因此,習知檢查技術並不完全令人滿意。
在一實施例中,半導體系統包含:測繪器,配置成沿半導體台的表面檢測變化;以及夾具,配置成沿半導體台上方的軸線移動測繪器。
為讓本發明的上述特徵和優點能更明顯易懂,下文特舉實施例,並配合所附圖式作詳細說明如下。
以下公開內容描述用於實施主題的不同特徵的各種示範性實施例。下文描述構件和佈置的具體實例以簡化本公開。當然,這些構件和佈置僅是實例且並不意欲為限制性的。舉例來說,應理解,當構件被稱作「連接到」另一構件或「耦合到」另一構件時,其可直接連接到另一構件或耦合到另一構件,或可存在一或多個介入構件。
另外,本公開可能在各個實例中重複附圖標號及/或字母。這種重複是出於簡化和清楚的目的,且本身並不指示所論述的各種實施例及/或配置之間的關係。
此外,為易於描述,可在本文中使用空間相對術語,如「在…下方」、 「在…之下」、「下部」、「在…上方」、「上部」以及類似術語,以描述如圖式中所說明的一個構件或特徵與另一(一些)構件或特徵的關係。除圖式中所描繪的定向以外,空間相對術語意欲涵蓋元件在使用或操作中的不同定向。設備可以其它方式定向(旋轉90度或處於其它定向),且本文中所使用的空間相對描述詞同樣可相應地進行解釋。
本公開提供用於半導體元件加工的測繪器夾具的各種實施例。測繪器夾具可以是一種配置成以自動化和可控方式在半導體加工台(semiconductor processing stage)上方容納和移動測繪器的結構。測繪器可以是一種沿半導體加工台檢測缺陷的感測器。測繪器的實例包含由位於日本京都的歐姆龍(Omron)公司製造的歐姆龍ZW-7000/5000系列共焦光纖位移感測器和由位於日本大阪的基恩士(Keyence)公司製造的基恩士LR-ZB100C3P基於距離的雷射感測器。半導體加工台可以是在其上可加工半導體元件的任何台(stage)或平台(platform)。半導體加工或製造是用於形成如積體電路(integrated circuit;IC)的半導體元件的製程。半導體加工可包含微影和化學處理的多個順序步驟,在此期間電子電路逐漸形成於由純半導體材料製造的晶圓上。因此,可在半導體加工台上執行半導體加工期間的微影和化學處理的各種順序步驟。然而,由於半導體加工台是在其上加工或製造半導體元件的台或平台,因此可能需要半導體加工台的頂部表面是完全均勻且沒有缺陷的。這可避免半導體加工台的任何非均勻度或缺陷轉變成在半導體加工台上加工的半導體元件上的非均勻度或缺陷。
通常,半導體加工台的檢查以手動方式進行。舉例來說,為了檢查所述台,所述台可從較大半導體加工系統拆卸且傳送到測繪器以用於檢查。可能不期望從台為其部分的較大半導體加工系統來拆卸台。舉例來說,此類手動拆卸可能是資源密集的,且在傳輸時對半導體加工台有損壞風險。或者,測繪器可引入到台但不含根據各種實施例的測繪器夾具,測繪器可使用手動處理以用於移動以便檢查半導體加工台。此外,測繪器的手動處理並不提供測繪器夾具可提供的精度和準確性,如下文進一步所論述。此外,半導體加工台和較大半導體加工系統可不設計用於整合品質控制或檢查技術,而是單純地設計用於半導體加工的實施方案。
因此,半導體加工台測繪器夾具可有利地呈可易於在標準半導體加工台和較大半導體加工系統上傳送和操作的大小。舉例來說,測繪器夾具可設計成擱置於用於半導體加工台的標準設計上而不需修改半導體加工台或較大半導體加工系統。此外,測繪器夾具可以測繪器的自動化移動和控制為特徵,其提供相對於手動移動增大的可重複性和精度。此外,當測繪器夾具與標準半導體加工台外觀尺寸(form factor)和製程組合時,測繪器夾具可以自動化方式和以比傳統手動系統更高的精度和更低的額外開銷來檢查半導體加工台的整個表面(或實質上整個表面)。
測繪器夾具相對於通用品質控制系統也可以是有利的,因為測繪器夾具可提供對半導體加工的特定品質控制,而不需並非特定用於半導體加工的額外部分的其它現成解決方案。舉例來說,測繪器夾具可沿僅單一軸線移動測繪器。僅沿單一軸線的測繪器夾具移動可利用一些標準半導體加工台的標準移動能力(例如,半導體加工台的標準旋轉能力),而不需要將此類移動能力額外整合到測繪器夾具的結構中。相對於包含沿多於單一個軸線移動測繪器的較為複雜的品質控制系統,這些類型的測繪器夾具還可更簡單地操作、更便宜地製造以及更不容易故障。此外,通過僅在單一軸線中移動,可確定如表面均勻度(例如,在整個半導體加工台中是否存在梯度或凹陷)的有用參數,而不需可存在於非特定品質控制系統中的其它額外、不必要的特徵。
儘管可在本文中相對於半導體加工的具體實例描述測繪器夾具,但根據本發明的各種實施例可提供在用於不同應用的其它類型的品質控制期間將測繪器用於檢查的測繪器夾具。舉例來說,根據本公開的各種實施例可應用於檢查經過加工的(例如,完成的)半導體元件彼此的均一性。
圖1是根據一些實施例的與半導體加工台104相關的測繪器夾具102的圖解100。測繪器106可安裝(例如,固定)在測繪器夾具102中,且配置成沿半導體加工台104的表面進行測繪(例如,檢測缺陷)。通過測繪,測繪器106可收集(collect)測繪器數據,所述測繪器數據表征(characterize)半導體加工台104的表面(以及在整個半導體加工台104中檢測到的任何缺陷)。舉例來說,測繪器106可包含雷射感測器,所述雷射感測器發射雷射108且測量雷射108的反射束的方面以確定參數,如到表面的距離、表面均勻度、表面平度、表面著色、材料類型(玻璃、金屬、塑膠等)、形狀(圓形、平坦、不均勻等)以及類似參數。與這些參數中的每一個相關聯的值可存儲作為參數值或測繪器數據,如下文將進一步論述。測繪器夾具102可以是一種結構,所述結構配置成使測繪器106相對於半導體加工台104定向和移動從而表徵半導體加工台104且沿半導體加工台104檢測缺陷,如下文將進一步論述。
測繪器夾具102可配置成沿水平(horizontal)軸線(例如,彼此正交以及與Z軸或垂直軸線正交的X軸或Y軸)移動測繪器106。將移動說明為沿第一水平軸線(例如,X軸)的虛線箭頭109。測繪器106通過測繪器夾具102的移動可以是可控的移動(例如,使用能夠精確和可重複運動的自動化機械設備控制)。在某些實施例中,測繪器夾具102可包含導軌(track)110,測繪器可相對於測繪器夾具102和下伏半導體加工台104兩者沿所述導軌移動。測繪器夾具可包含沿垂直軸線(例如,Z軸)延伸的支柱(legs)112,且通過也支撐導軌110的橋114連接。所述橋可懸置在半導體加工台104上方,使得測繪器106可在半導體加工台上方沿導軌110移動且由所述導軌支撐。此外,測繪器夾具102可配置成使得在測繪器夾具102移動測繪器106或(替代地)不移動測繪器106時,測繪器106可用來檢查半導體加工台104。因此,在測繪器夾具102控制測繪器106的移動時,支柱112可立於允許測繪器106檢查下伏半導體加工台104的任何表面上。舉例來說,支柱可立於底板(base)上,如將在下文進一步說明,所述底板包圍用於半導體加工台的區域。作為另一實例,支柱可立於地板、地面或鄰近於半導體加工台104的固體表面上,或可附接到包圍半導體加工台104的底板。
測繪器夾具102與半導體加工台104的移動控制的組合可稱為測繪器夾具系統。測繪器夾具系統可控制測繪器夾具102的移動(例如,測繪器106沿一個維度(dimension)或軸線(axis)的移動)和半導體加工台104的移動(例如,半導體加工台104的旋轉)兩者。如下文將進一步論述,測繪器夾具系統可執行控制半導體加工台104和測繪器夾具102兩者的移動的測繪器夾具檢視過程。
換句話說,測繪器夾具102與半導體加工台104的移動控制的組合可稱為測繪器夾具系統。因此,控制半導體加工台104和測繪器夾具102兩者的移動的測繪器夾具檢視過程可指控制測繪器夾具系統的構件的移動。
在某些實施例中,測繪器夾具102可單獨地執行控制測繪器夾具102的移動而不控制半導體加工台104的移動的測繪器夾具檢視過程。因此,半導體加工台104的控制對於執行測繪器夾具檢視過程來說可以是視情況選擇的(optional)。
在某些實施例中,測繪器夾具102還可配置成沿多於單一個軸線移動測繪器106。舉例來說,測繪器夾具102可配置成沿與沿導軌110的第一水平軸線正交的第二水平軸線(例如,Y軸)經由夾具旋轉來移動測繪器106。這用沿第二水平軸線的虛線箭頭116來說明。此外,作為另一實例,測繪器夾具102可配置成圍繞垂直軸線(例如,Z軸)旋轉,且因此更圍繞垂直軸線旋轉測繪器106。這種旋轉用虛線箭頭118來說明。因此,測繪器夾具可在多於單一個維度上安置於半導體加工台上方的多種位置中。
在特定實施例中,半導體加工台104可配置成相對於測繪器夾具102及/或測繪器106移動。舉例來說,在測繪器106配置成沿水平軸線(例如,X軸)移動時,半導體加工台104可配置成沿垂直軸線(例如,Z軸)旋轉。術語旋轉或半導體加工台的旋轉可指在半導體加工台的中心點處圍繞垂直軸線旋轉。旋轉用虛線箭頭120來說明。因此,測繪器106(由於沿X軸移動和圍繞Z軸旋轉)可沿兩個維度安置於支柱112之間的半導體加工台104上方的多種位置中。這種配置可以是有利的,因為某些半導體加工台104可通常設計成旋轉。因此,在提供用於自動化檢查半導體加工台104的整個上部表面在兩個維度上的缺陷的設備時,測繪器夾具102的添加可不顯眼地用於半導體加工台104(和較大半導體加工系統)。
圖2是根據一些實施例的測繪器夾具202的電腦輔助繪圖200。電腦輔助繪圖200說明與圖1的實施例不同的測繪器夾具202的實施例。返回到圖2,測繪器夾具202可包含測繪器204,所述測繪器經由附接件208附接到測繪器夾具202的導軌206。導軌206可以是支柱212之間的橋210的部分,或實質上形成所述支柱之間的所述橋。測繪器204可使用控制器214來沿導軌206線性地移動,所述控制器控制附接件208沿導軌206以可控和精確運動形式移動。測繪器夾具202可擱置於底板216上(且不與所述底板一起形成為單件或附接到所述底板),所述底板包圍用於半導體加工台(未示出)的區域217。底板216可以是較大半導體加工系統的部分。在某些實施例中,底板216可包含各種介面(interface)開口218,在所述介面開口內螺釘或其它緊固元件(未示出)可用於將底板永久地或暫時地緊固到較大半導體加工系統。較大半導體加工系統可配置成或構建成接收區域217中的半導體加工台且執行區域217中的半導體加工台上的半導體加工。
在其它實施例中,底板216可以是測繪器夾具202的部分且粘附到測繪器夾具202(或,替代地,測繪器夾具202和底板216可形成為一個整體件)。此外,通過擱置在底板216上或粘附到所述底板,當底板216相對於不與底板216一起移動的半導體加工台移動(例如,旋轉)時,可移動測繪器夾具202。因此,相對於半導體加工台,測繪器夾具202上的測繪器204可在底板相對於半導體加工台移動(例如,旋轉)時沿導軌206的多於單一個維度(例如,單一軸線)移動。
在多個實施例中,底板216可以永久(不可移除)或暫時(例如,可移除)方式緊固到較大半導體加工系統。較大半導體加工系統可配置成或構建成具有區域217中的半導體加工台且執行區域217中的半導體加工台上的半導體加工。舉例來說,底板216可通常包圍區域217,其中可在半導體加工台上執行半導體加工。通過包圍,底板216可涵蓋區域217的橫向周界。在不同實施例中,底板216可包含各種介面開口218,在所述介面開口內螺釘或其它緊固元件(未示出)可用於將底板216緊固(例如,經由螺釘或配置成穿過介面開口218的其它器具)到較大半導體加工系統。例如,螺釘或其它緊固元件(未示出)可包括與軸連接的頭部。 軸(例如,螺釘的尖端、螺紋和柄)可以穿過介面開口218,以與較大的半導體加工系統接合並擰入較大的半導體加工系統。 而且,頭部可以具有比軸更大的橫截面積,以便不完全穿過介面開口並且將底板216固定到更大的半導體加工系統。
在特定實施例中,可在測繪器106檢查區域217內的下伏半導體加工台之前執行校準。這種校準可以是例如將測繪器204安放在相對於半導體加工台的所需位置處以用於測繪器204檢查區域217內的下伏半導體加工台的水平或垂直校準。在特定實施例中,這種校準可以是將測繪器204安放在要檢查的半導體加工台的一部分上方的位置中的水平校準。
圖3是根據一些實施例的測繪器夾具系統302的各種功能模組的方塊圖。測繪器夾具系統302可包含處理器304。在另外的實施例中,處理器304可實施為一或多個處理器。
處理器304能可操作地連接到電腦可讀存儲模組306(例如,記憶體及/或數據記憶體)、網路連接模組308、使用者介面模組310、控制器模組312以及感測器模組314。在一些實施例中,電腦可讀存儲模組306可包含夾具檢視過程邏輯,其可配置處理器304執行測繪器夾具檢視過程。電腦可讀記憶體還可存儲參數數據,如測繪器數據、用於測繪器及/或半導體台的識別字、測繪器數據收集路徑以及可用於執行缺陷偏移校正的任何其它參數或資訊。
網路連接模組308可有助於測繪器夾具系統302與可與測繪器夾具系統302通信的其它元件的網路連接。在某些實施例中,網路連接模組308可有助於物理連接,如線路或匯流排。在其它實施例中,網路連接模組308可通過使用發射器、接收器及/或收發器來促進如通過無線局域網(wireless local area network;WLAN)的無線連接。
測繪器夾具系統302可更包含使用者介面模組310。使用者介面可包含用於輸入及/或輸出到測繪器夾具系統302的操作器的任何類型的介面,所述操作器包含但不限於監測器、筆記型電腦、平板電腦或移動裝置等。
測繪器夾具系統302可包含控制器模組312。控制器模組312可配置成控制各種物理設備,所述物理設備控制測繪器及/或半導體加工台的移動,如上文所論述。舉例來說,控制器模組312可包含使測繪器沿導軌移動的電動機。此外,控制器模組312可包含移動半導體加工台(例如,通過圍繞中心垂直軸線旋轉)的電動機。控制器可由處理器控制且可執行測繪器夾具檢視過程的各種方面,如下文將進一步論述。
測繪器夾具系統302可包含感測器模組314。感測器模組314可包含上文進一步所論述的測繪器。因此,測繪器可與處理器304及/或測繪器夾具系統302的其它模組交互以執行測繪器夾具檢視過程。感測器模組314可包含用於測繪器的各種介面,使得測繪器可與處理器交互以執行測繪器夾具檢視過程。在某些實施例中,測繪器可不為測繪器夾具的整體部分,使得測繪器能可拆卸地附接到測繪器夾具。
圖4是根據一些實施例的測繪器夾具檢視過程400的流程圖。可通過均在上文論述的測繪器夾具系統或測繪器夾具來執行測繪器夾具檢視過程。應注意,過程400僅為一實例且不意圖限制本公開。因此,應理解,可在圖4的過程400之前、期間以及之後提供額外操作,可省略某些操作,可與其它操作同時執行某些操作,且一些其他操作可在本文中僅簡單描述。
在操作402處,可通過測繪器夾具移動測繪器。如上文所論述,測繪器可安裝於測繪器夾具中且沿測繪器夾具中的至少一個導軌的至少一個軸線移動。舉例來說,測繪器夾具可包含導軌,沿所述導軌測繪器可沿一個軸線移動(例如,在一個維度上移動)。
在操作406處,如虛線指出是視情況選擇的,可通過測繪器夾具系統移動半導體加工台。在某些實施例中,半導體加工台可通過測繪器夾具來獨立於測繪器的移動而移動。在某些實施例中,可通過旋轉來移動半導體加工台。如上所述,各種操作可同時及/或以不同循序執行。因此,在某些實施例中,操作402和操作406可同時執行,或操作402可在操作406後執行,或操作406可在操作402前執行。操作406對於收集測繪器數據而不移動半導體加工台的實施例來說可以是視情況選擇的。
在操作408處,在可處理操作402及/或操作406的執行期間和/在之後通過測繪器來收集測繪器數據。收集的數據可包含參數的值,所述參數如到表面的距離、表面均勻度、表面平度、表面著色、材料類型(玻璃、金屬、塑膠等)、形狀(圓形、平坦、不均勻等)以及類似參數。可處理測繪器數據以提供關於半導體加工台的匯總資訊,如半導體加工台的整個表面中的變化(例如,在高度、光滑度、均勻度中的變化)趨向,如下文將進一步論述。
在操作408處,可分析測繪器數據以產生結論。分析可根據測繪器數據的任何類型的分析。如上所述,收集的數據可包含參數的值,所述參數如到表面的距離、表面均勻度、表面平度、表面著色、材料類型(玻璃、金屬、塑膠等)、形狀(圓形、平坦、不均勻等)以及類似參數。可單獨地及/或組合地分析這些參數中的每一個以確定基於測繪器數據的結論是否存在(例如,合格或不合格情況)。舉例來說,超過閾限特徵(threshold characterization)(例如,一或多個參數的閾值)的表面均勻度或表面平度可指示誤差情況,其中半導體加工台的頂部表面並不完全均勻。這種誤差情況可能是不期望的,因為半導體加工台的任何非均勻度或缺陷可轉變成在半導體加工台上加工的半導體元件上的非均勻度或缺陷。
在操作410處,如虛線指出是視情況選擇的,可基於誤差情況的結論而觸發(trigger)(例如,執行)修復(remediation)。舉例來說,存在誤差情況和不含缺陷的結論可引起替換及/或固定(例如,加工)半導體加工台使得其更為均勻的修整(refurbishment)步驟,在所述誤差情況中半導體加工台的頂部表面並不完全均勻。
圖5是根據一些實施例的與半導體加工台502相關的測繪器夾具的各種位置的圖解。半導體加工台可成形為圓形,且包含多個半導體元件接收座504,在進行半導體元件加工時,半導體元件可擱置在所述半導體元件接收座內。半導體加工台還可配置成移動(例如,旋轉),如由虛線箭頭506所指示。
測繪器夾具可配置成將測繪器移動到沿半導體加工台的半徑的多個分散(discrete)位置。這些分散位置可等效於與半導體加工台的中心點的距離(例如,半徑距離)。舉例來說,測繪器夾具可配置成將測繪器移動(如由虛線箭頭507所指示)到沿半導體加工台的半徑的分散位置508A至分散位置508J。半徑可指從半導體加工台502的中心點量測的距離。在每一分散位置508A至分散位置508J處時,半導體加工台502還可旋轉至少360度(例如,滿旋轉)以便測繪器沿相應測繪器數據收集路徑來收集位置508A至位置508J中的每一個處的測繪器數據。測繪器數據收集路徑可以是測繪器可在其上收集測繪器數據的區域。這些相應測繪器數據收集路徑中的每一個可在半導體加工台502的周邊內形成同心圓。換句話說,測繪器夾具可配置成沿分散位置處的同心圓測繪器數據收集路徑中的每一個來收集分散測繪器數據組,測繪器夾具可使測繪器位於所述分散位置處。儘管圖5僅說明十個分散位置508A至分散位置508J,但測繪器夾具可沿半導體加工台502的半徑將測繪器定向在任何數目個分散位置處以用於根據各種實施例的不同應用。舉例來說,測繪器夾具可沿半導體加工台502的半徑將測繪器定向在5個分散位置或15個分散位置處。
圖6說明根據一些實施例的在圖5的整個半導體加工台中的兩個分散位置處的測繪器數據的變化的實驗結果。測繪器數據可包含由線604表示的在半徑150毫米的分散位置處由測繪器產生的數據。測繪器數據可更包含由線606表示的在半徑600毫米的分散位置處由測繪器產生的數據。測繪器數據可在以度(deg)為單位的旋轉角度上通過以微米(um)為單位的高度來繪製。如所說明,150毫米分散位置處的高度的方差可以是20微米。150毫米分散位置處的高度的這一方差(例如,20微米)可比600毫米分散位置處的200微米方差小得多。此外,所選分散位置可避開上文結合圖5所論述的各種接收座504(例如,可在橫越(traverse)測繪器數據收集路徑的外部)。因此,圖6說明測繪器可如何產生測繪器數據,所述測繪器數據表征在整個半導體加工台的不同位置中的高度。更具體地,測繪器數據可指示在整個這些不同位置中的高度變化。這些高度變化可用於確定是否存在誤差情況(例如,超過閾限特徵的顯著非均勻度)。
圖7是根據一些實施例的測繪器夾具可如何相對於壓花半導體加工台702來移動測繪器的圖解。壓花半導體加工台702可在整個半導體加工台702中包含多個突出部704。此外,半導體加工台702可配置(例如,構造)成接收用於在半導體加工台702上加工的至少一個半導體元件。當半導體元件擱置在半導體加工台702上時,突出部704可使擱置的半導體元件與半導體加工台的底部表面隔開(相比於突出部704上的半導體加工台的上部表面)。因此,擱置在半導體加工台上的半導體元件可更可易於移除,因為其不與半導體加工台齊平放置。
相對於半導體加工台702,測繪器夾具可配置成沿一個維度上的軸線移動測繪器,如沿橫跨半導體加工台702直徑的軸線。這一移動由橫越(span)半導體加工台702直徑的虛線箭頭706表示。
在某些實施例中,半導體加工台702可配置成旋轉。這種旋轉移動由虛線箭頭708指示。然而,在其它實施例中,半導體加工台702可不配置成旋轉。因此,在測繪器夾具移動測繪器時及/或在測繪器收集測繪器數據時,半導體加工台702可以是靜止的。
圖8說明根據一些實施例的在壓花半導體加工台中整個新的和磨損的突出部中的測繪器數據的實驗結果。測繪器數據可繪製為與距離(沿水平軸線)相對的高度(沿垂直軸線)。沿水平軸線的距離的實際大小對理解本實施例並不重要且省略。測繪器數據可反映新突出部(由線852表示)和磨損(例如,較老)突出部(由線854表示)兩者。如所說明,表示新突出部的線852可具有比表示磨損突出部的線854更陡的邊緣856。因此,測繪器數據指示測繪器在確定突出部何時是新的(由線852表示)或其是否磨損(由線854表示)上可以是有效的。如上所述,超過閾限特徵(例如,一或多個參數的閾值)的表面均勻度缺失(例如,由於磨損)可指示不期望的誤差情況因此,可基於誤差情況觸發(例如,執行)修復。舉例來說,存在誤差情況的結論可引起替換及/或固定(例如,加工)半導體加工台使得其更均勻的修整步驟,在所述誤差情況中半導體加工台並不足夠均勻。
圖9是根據一些實施例的呈螺旋圖案的測繪器數據收集路徑902的圖解。半導體加工台904可配置(例如,構造)成接收用於在半導體加工台904上加工的至少一個半導體元件。相對於半導體加工台904,測繪器夾具可配置成沿半導體加工台702的半徑移動測繪器。這種移動由橫越半導體加工台904半徑的虛線箭頭906表示。此外,在測繪器沿半導體加工台904的半徑移動時,半導體加工台904可旋轉。所述旋轉可由虛線箭頭908表示。
因此,測繪器夾具可沿半徑持續地移動測繪器(例如,從半導體加工台的中心處的最短半徑位置移動到遠離半導體加工台的中心的最長半徑位置)。這種移動可在半導體加工台904旋轉時發生,而不在任何特定分散位置處停止。測繪器可在這一移動期間收集表徵半導體加工台表面的測繪器數據。測繪器可在其上收集測繪器數據的區域可以是測繪器數據收集路徑902。通過改變半導體加工台的旋轉和測繪器通過測繪器夾具的線性運動,測繪器數據收集路徑902可形成為橫越半導體加工台904表面的螺旋形。
如上文所描述的測繪器夾具相對於通用品質控制系統也可以是有利的,因為測繪器夾具可提供對半導體加工的特定品質控制,而不需並非特定用於半導體加工的額外部分的其它現成解決方案。舉例來說,測繪器夾具可沿僅單一軸線移動測繪器。僅沿單一軸線的測繪器夾具移動可利用一些標準半導體加工台的標準移動能力(例如,半導體加工台的標準旋轉能力),而不需要將此類移動能力額外整合到測繪器夾具的結構中。相對於包含沿多於單一個軸線移動測繪器的較為複雜的品質控制系統,這些類型的測繪器夾具還可更簡單地操作、更便宜地製造以及更不容易故障。此外,通過僅在單一軸線中移動,可確定如表面均勻度(例如,在整個半導體加工台中是否存在梯度或凹陷)的有用參數,而不需可存在於非特定品質控制系統中的其它額外、不必要的特徵。
在一實施例中,一種系統包含:測繪器,配置成沿半導體台的表面檢測變化;以及夾具,配置成沿半導體台上方的軸線移動測繪器。
在一實施例中,所述半導體台配置成接收用於加工的半導體元件。
在一實施例中,所述半導體台配置成旋轉,且所述夾具配置成在所述半導體台旋轉時移動所述測繪器。
在一實施例中,所述夾具配置成在所述半導體台靜止時移動所述測繪器。
在一實施例中,所述系統更包括底板,所述底板包圍配置成接收用於加工的半導體元件的半導體加工台。
在一實施例中,所述夾具配置成圍繞所述半導體台旋轉。
在一實施例中,所述半導體台配置成接收用於加工的至少兩個半導體晶圓。
在一實施例中,所述夾具從所述半導體台拆離且配置成在第二半導體台上方移動。
在一實施例中,所述夾具配置成以自動化方式移動所述測繪器。
在其它實施例中,一種系統包含:半導體台,所述半導體台配置成接收用於加工的半導體元件,半導體台配置成旋轉;測繪器,所述測繪器配置成沿半導體台表面的檢測變化;以及夾具,包括測繪器,所述夾具配置成沿半導體台上方的軸線移動測繪器。
在一實施例中,所述夾具配置成在所述半導體台旋轉時移動所述測繪器。
在一實施例中,所述夾具配置成在所述半導體台靜止時移動所述測繪器。
在一實施例中,所述夾具配置成沿以下中的至少一個移動所述測繪器:所述半導體台的半徑以及所述半導體台的直徑。
在一實施例中,所述半導體台圖案化成具有突出部,所述半導體元件擱置在所述突出部上。
在一實施例中,所述夾具配置成圍繞所述半導體台旋轉。
在另外的實施例中,一種方法包含:在半導體加工台上方負載包括測繪器的夾具;使用夾具沿半導體台上方的軸線移動測繪器,所述半導體台配置成接收用於加工的半導體元件;使用測繪器沿半導體台的表面檢測變化;收集由測繪器產生的測繪器數據,所述測繪器數據表征半導體台的表面;以及基於測繪器數據對半導體台執行修復。
在一實施例中,所述修復是所述半導體台的修整。
在一實施例中,所述方法更包括旋轉所述半導體台。
在一實施例中,所述方法更包括在沿所述軸線移動所述測繪器時旋轉所述半導體台。
在一實施例中,所述方法進一步包括通過在底板上方負載所述夾具來將所述夾具調適(adapt)到所述底板,所述底板包圍所述半導體台。
前述公開內容概述若干實施例的特徵以使得本領域的具有通常知識者可更好地理解本公開的各方面。本領域的通常知識者應瞭解,其可以易於使用本公開作為設計或修改用於進行本文中所介紹的實施例的相同目的及/或獲得相同優勢的其它製程和結構的基礎。本領域的通常知識者還應認識到,此類等效構造並不脫離本公開的精神和範圍,且其可在不脫離本公開的精神和範圍的情況下在本文中進行各種改變、替代和更改。
除非另外特別陳述,或另外在如所使用的上下文內進行理解,否則條件性語言(如,「可(can、could、might或may)」)一般旨在傳達某些實施例包含而其它實施例並不包含某些特徵、要素及/或步驟。因此,此類條件性語言一般不旨在暗示特徵、要素及/或步驟無論如何都是一或多個實施例所需要的,或者一或多個實施例無論有或沒有用戶輸入或提示都必然包括用於決定任何特定實施例中是否包含或將執行這些特徵、要素及/或步驟的邏輯。
此外,本領域的通常知識者將能夠配置功能性實體,從而在閱讀本公開之後執行本文中所描述的操作。如本文中相對於指定操作或功能所使用,術語「配置」是指以物理方式或以虛擬方式構建、程式設計及/或佈置來執行指定操作或功能的系統、元件、構件、電路、結構、機器等。
除非另有具體陳述,否則如片語「X、Y或Z中的至少一個」的轉折(disjunctive)語言結合如所使用的上下文以其它方式理解為一般呈現專案、術語等可為X、Y或Z,或其任何組合(例如X、Y及/或Z)。因此,此類轉折語言通常無意且不應暗示某些實施例要求X中的至少一個、Y中的至少一個或Z中的至少一個每一個都存在。
應強調,可對上述實施例作出許多變化和修改,其構件將被理解為在其它可接受實例當中。所有此類修改和變化意圖包含在本文中,在本公開的範圍內並受所附申請專利範圍的保護。
雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明的精神和範圍內,當可作些許的更動與潤飾,故本發明的保護範圍當視後附的申請專利範圍所界定者為準。
100‧‧‧圖解
102、202‧‧‧測繪器夾具
104、502、904‧‧‧半導體加工台
106、204‧‧‧測繪器
108‧‧‧雷射
109、116、118、120、506、507、706、708、906、908‧‧‧虛線箭頭
110、206‧‧‧導軌
112、212‧‧‧支柱
114、210‧‧‧橋
200‧‧‧電腦輔助繪圖
208‧‧‧附接件
214‧‧‧控制器
216‧‧‧底板
217‧‧‧區域
218‧‧‧介面開口
302‧‧‧測繪器夾具系統
304‧‧‧處理器
306‧‧‧電腦可讀存儲模組
308‧‧‧網路連接模組
310‧‧‧使用者介面模組
312‧‧‧控制器模組
314‧‧‧感測器模組
400‧‧‧測繪器夾具檢視過程
402、406、408、410‧‧‧操作
504‧‧‧半導體元件接收座
508A、508B、508C、508D、508E、508F、508G、508H、508I、508J‧‧‧分散位置
604、606、852、854‧‧‧線
702‧‧‧壓花半導體加工台
704‧‧‧突出部
856‧‧‧邊緣
902‧‧‧測繪器數據收集路徑
當結合附圖閱讀時,從以下詳細描述最好地理解本公開的各方面。應注意,各種特徵未必按比例繪製。實際上,出於論述的清楚起見,可任意地增大或減小各種特徵的尺寸和幾何結構。 圖1是根據一些實施例的與半導體加工台相關的測繪器夾具(profiler jig)的圖解。 圖2是根據一些實施例的測繪器夾具的電腦輔助繪圖。 圖3是根據一些實施例的測繪器夾具系統的各種功能模組的方塊圖。 圖4是根據一些實施例的測繪器夾具檢視過程的流程圖。 圖5是根據一些實施例的與半導體加工台相關的測繪器夾具的各種位置的圖解。 圖6是根據一些實施例的在沿圖5的半導體加工台的兩個不同分散位置處的測繪器數據(data)的曲線圖。 圖7是根據一些實施例的壓花(embossed)半導體加工台的圖解。 圖8是根據一些實施例的在壓花半導體加工台中整個新的和磨損的突出部中的測繪器數據的曲線圖。 圖9是根據一些實施例的以螺旋圖案配置的測繪器數據收集路徑的圖解。

Claims (1)

  1. 一種半導體系統,包括: 測繪器,配置成沿半導體台的表面檢測變化;以及 夾具,配置成沿所述半導體台上方的軸線移動所述測繪器。
TW107142818A 2017-11-30 2018-11-29 半導體系統和半導體方法 TW201928732A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762592691P 2017-11-30 2017-11-30
US62/592,691 2017-11-30
US16/201,312 US11152238B2 (en) 2017-11-30 2018-11-27 Semiconductor processing stage profiler jig
US16/201,312 2018-11-27

Publications (1)

Publication Number Publication Date
TW201928732A true TW201928732A (zh) 2019-07-16

Family

ID=66632733

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107142818A TW201928732A (zh) 2017-11-30 2018-11-29 半導體系統和半導體方法

Country Status (3)

Country Link
US (1) US11152238B2 (zh)
CN (1) CN109860077A (zh)
TW (1) TW201928732A (zh)

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3662731D1 (en) * 1985-02-04 1989-05-11 Olympus Optical Co Microscope apparatus for examining wafer
US6650409B1 (en) * 1991-04-02 2003-11-18 Hitachi, Ltd. Semiconductor device producing method, system for carrying out the same and semiconductor work processing apparatus included in the same system
US5255089A (en) * 1992-03-26 1993-10-19 International Business Machines Corporation Portable particle detector assembly
JPH07153825A (ja) * 1993-11-29 1995-06-16 Toto Ltd 静電チャック及びこの静電チャックを用いた被吸着体の処理方法
US5978078A (en) * 1996-12-17 1999-11-02 Texas Instruments Incorporated System and method for detecting particles on substrate-supporting chucks of photolithography equipment
JP3052934B2 (ja) * 1998-06-12 2000-06-19 日本電気株式会社 バリ取り装置及びバリ除去方法
US7295314B1 (en) * 1998-07-10 2007-11-13 Nanometrics Incorporated Metrology/inspection positioning system
JP2001208530A (ja) * 2000-01-26 2001-08-03 Asanuma Giken:Kk 計測機検査用計測マスタのセッティング装置
US7388663B2 (en) * 2004-10-28 2008-06-17 Asml Netherlands B.V. Optical position assessment apparatus and method
US7924416B2 (en) * 2005-06-22 2011-04-12 Nikon Corporation Measurement apparatus, exposure apparatus, and device manufacturing method
KR102238750B1 (ko) * 2013-08-10 2021-04-08 어플라이드 머티어리얼스, 인코포레이티드 새로운 또는 개장된 정전 척을 폴리싱하는 방법
JP6109032B2 (ja) * 2013-10-02 2017-04-05 三菱電機株式会社 半導体試験治具およびその搬送治具、ならびにそれらを用いた異物除去方法
CN107408520B (zh) * 2015-03-03 2022-02-25 卢茨·瑞布斯道克 检查系统
JP6041175B2 (ja) * 2015-03-30 2016-12-07 株式会社東京精密 プローバ
JP6659467B2 (ja) * 2016-06-03 2020-03-04 株式会社荏原製作所 めっき装置、基板ホルダ、めっき装置の制御方法、及び、めっき装置の制御方法をコンピュータに実行させるためのプログラムを格納した記憶媒体
JPWO2018021216A1 (ja) * 2016-07-28 2019-05-09 日本電産リード株式会社 検査治具、基板検査装置、及び検査治具の製造方法
JP6756540B2 (ja) * 2016-08-08 2020-09-16 株式会社荏原製作所 めっき装置、めっき装置の制御方法、及び、めっき装置の制御方法をコンピュータに実行させるためのプログラムを格納した記憶媒体
KR102566162B1 (ko) * 2016-08-23 2023-08-10 삼성전자주식회사 웨이퍼 검사 장치 및 이를 이용한 웨이퍼 검사 방법
JP6955893B2 (ja) * 2017-04-25 2021-10-27 株式会社ディスコ レーザー加工装置の高さ位置検出ユニットの評価用治具及びレーザー加工装置の高さ位置検出ユニットの評価方法
US10490463B2 (en) * 2017-07-31 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Automated inspection tool
US10714364B2 (en) * 2017-08-31 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for inspecting wafer carriers
KR102524625B1 (ko) * 2017-09-12 2023-04-24 삼성전자주식회사 기판 검사 장치 및 이를 포함하는 기판 처리 시스템
US10978331B2 (en) * 2018-03-30 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for orientator based wafer defect sensing

Also Published As

Publication number Publication date
US20190164793A1 (en) 2019-05-30
CN109860077A (zh) 2019-06-07
US11152238B2 (en) 2021-10-19

Similar Documents

Publication Publication Date Title
TWI706487B (zh) 探針機台及探針機台的操作方法
JP2015111696A5 (zh)
US20150219439A1 (en) Method and apparatus for detecting position of substrate transfer device, and storage medium
US10643909B2 (en) Inspecting method for inspecting influence of installation environment upon processing apparatus
TWI456169B (zh) 發光元件之檢查裝置及檢查方法
US20170116721A1 (en) Displacement detecting apparatus, displacement detecting method and substrate processing apparatus
US20150253765A1 (en) Teaching jig, teaching system, and teaching method
US20200384571A1 (en) Laser oscillator support table and adjustment method of laser oscillator support table
US20130168929A1 (en) Semiconductor wafer isolated transfer chuck
KR101187516B1 (ko) 결함 분포 패턴의 대조 방법 및 장치
CN106557818B (zh) 用于生成处理零件物理轮廓的路径的系统和方法
TWI729044B (zh) 移動感測器座標檢測系統
WO2016107508A1 (zh) 自适应沟槽的调焦调平装置及其方法
JP6221200B2 (ja) プローバ
JP2017183755A (ja) プローブカードの傾き検出方法及びプローバ
TW201928732A (zh) 半導體系統和半導體方法
TW200402819A (en) Method and apparatus for quantitative quality inspection of substrate such as wafer
WO2022160564A1 (zh) 控片量测方法及量测装置
KR20190000515U (ko) 디스플레이 패널의 회로 및 픽셀 탐색 시스템 및 방법
JP6099486B2 (ja) 分析方法、分析装置および基板処理装置
KR101326061B1 (ko) 마스크 레벨링수단을 구비한 노광장치 및 마스크 레벨링방법
JP5661937B2 (ja) ウェハコーティング装置
JP2020189390A (ja) 産業用ロボットの調整方法および測定用器具
US11815549B2 (en) Electronic component handler, electronic component tester, and method of detecting position of pocket
JP4514785B2 (ja) 全反射蛍光x線分析装置