TW201916203A - 烘烤模組的驗證測試方法及其系統 - Google Patents

烘烤模組的驗證測試方法及其系統 Download PDF

Info

Publication number
TW201916203A
TW201916203A TW106135660A TW106135660A TW201916203A TW 201916203 A TW201916203 A TW 201916203A TW 106135660 A TW106135660 A TW 106135660A TW 106135660 A TW106135660 A TW 106135660A TW 201916203 A TW201916203 A TW 201916203A
Authority
TW
Taiwan
Prior art keywords
baking
baking module
module
wafer
thermal energy
Prior art date
Application number
TW106135660A
Other languages
English (en)
Other versions
TWI651791B (zh
Inventor
趙家崢
王忠誠
陳俊光
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI651791B publication Critical patent/TWI651791B/zh
Publication of TW201916203A publication Critical patent/TW201916203A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • H05B3/22Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible
    • H05B3/24Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor being self-supporting
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/68Heating arrangements specially adapted for cooking plates or analogous hot-plates
    • H05B3/686Heat-storage plates

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Toxicology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

將測試晶圓放置在烘烤模組中並烘烤,在烘烤期間,經由一或多個溫度感測器量測傳遞給測試晶圓的累積熱量,量測的累積熱量與預定的累積熱量底限比較,回應上述比較指出量測的累積熱量在預定的累積熱量底限內,這決定烘烤模組對於實際的半導體製造是合格的,回應上述比較指出量測的累積熱量在預定的累積熱量底限之外,這決定烘烤模組對於實際的半導體製造是不合格的。

Description

晶圓烘烤模組的檢測方法、晶圓烘烤方法及其系統
本發明實施例是關於半導體裝置製造技術,特別是有關於烘烤模組的驗證測試方法及其系統。
半導體積體電路(Integrated Circuit,IC)產業已經經歷了指數型(exponential)成長。積體電路之材料和設計上的技術進展已經產生了數個世代的積體電路,每一世代皆較前一世代具有更小且更複雜的電路。在積體電路演進的歷程中,當幾何尺寸(亦即使用生產製程可以產生的最小元件(或線))縮減時,功能密度(亦即單位晶片面積的互連裝置數量)通常也增加。此尺寸縮減的製程通常藉由提高生產效率和降低相關成本而提供了一些效益。這樣的尺寸縮減也增加了積體電路之製程和生產的複雜性。
隨著尺寸繼續縮減,在半導體製造中,控制熱均勻性變得更重要。為了確保設備例如加熱板可以產生令人滿意的熱均勻性效能,可以對加熱板進行驗證測試。遺憾的是,現有的驗證測試方法還無法最精確地預測加熱板的熱均勻性效能。
因此,雖然傳統的加熱板驗證測試通常已達到預期的目的,但它們仍未在各方面皆徹底的符合要求。
根據本發明的一些實施例,提供烘烤模組的驗證測試方法。此方法包含量測烘烤製程的熱能的累積量,其中藉由烘烤模組加熱晶圓執行烘烤製程,以及基於量測的熱能累積量決定烘烤模組是否對於用在實際半導體製造是合格的。
根據本發明的一些實施例,提供烘烤模組的驗證測試方法。此方法包含烘烤放置在烘烤模組內的測試晶圓,藉由一或多個溫度感測器,量測在烘烤期間傳遞到測試晶圓的累積熱量,將量測的累積熱量與預定的累積熱量底限比較,回應於上述比較指出量測的累積熱量在預定的累積熱量底限內,決定烘烤模組對於實際的半導體製造是合格的,以及回應於上述比較指出量測的累積熱量在預定的累積熱量底限之外,決定烘烤模組對於實際的半導體製造是不合格的。
根據本發明的一些實施例,提供烘烤模組的驗證測試系統。此方法包含烘烤模組配置為加熱測試晶圓,複數個熱感測器設置在烘烤模組內,每一個熱感測器配置成量測與烘烤模組有關的溫度資料,以及控制器配置成執行操作,其包含升高烘烤模組的溫度一段時間,基於隨著烘烤模組的溫度升高量測的溫度資料,決定這段時間藉由烘烤模組傳遞的累積熱量,及基於決定的累積熱量選擇性地驗證出烘烤模組對於實際的半導體製造是可用的。
1、2、3、4、5、6、7、8、9、10、11、12、13、14、15、16、17、18、19、20、21、22、23、24、25、26、27、28、29‧‧‧晶圓熱感測器
100‧‧‧半導體裝置
140‧‧‧基底
150‧‧‧材料層
160‧‧‧光阻層
160A‧‧‧曝光部分
160B‧‧‧未曝光部分
170‧‧‧旋轉塗布製程
220‧‧‧曝光製程
250‧‧‧烘烤製程
300‧‧‧顯影製程
310‧‧‧開口
400‧‧‧製造系統
410‧‧‧光阻塗布設備
430‧‧‧曝光設備
450‧‧‧曝光後烘烤設備
470‧‧‧顯影設備
480‧‧‧控制器
500‧‧‧烘烤模組
510‧‧‧外殼
520‧‧‧晶圓
530‧‧‧加熱板
540‧‧‧間隙插銷
550‧‧‧真空孔
560‧‧‧晶圓導件
580‧‧‧進氣口
590‧‧‧排氣口
700‧‧‧曲線圖
710‧‧‧曲線
720‧‧‧上升區
730‧‧‧靜態區
740‧‧‧區
810、811、820、821‧‧‧表
900、1000‧‧‧方法
910、920、930、940、950、960、970、980、1010、1020、1030、1040‧‧‧步驟
CH1、CH2、CH3、CH4、CH5、CH6、CH7、CH8、CH9、CH10、CH11、CH12、CH13‧‧‧通道
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。
第1-4圖是根據本發明的一些實施例,半導體裝置在各個製造階段的概略局部剖面側視示意圖。
第5圖是根據本發明的一些實施例,用於製造半導體裝置的系統的概略示意圖。
第6A圖是根據本發明的一些實施例,烘烤模組的概略上視示意圖。
第6B圖是根據本發明的一些實施例,第6A圖的烘烤模組的概略剖面側視示意圖。
第7圖是根據本發明的一些實施例,說明第6A-6B圖的烘烤模組之簡化概略剖面側視示意圖,其繪示在烘烤模組內空氣的移動。
第8圖是根據本發明的一些實施例,說明第6A-6B圖的烘烤模組的疊加概略上視示意圖,以及在測試晶圓上的多個感測器。
第9圖是根據本發明的一些實施例,說明溫度對時間的圖。
第10A-10B圖是根據本發明的一些實施例,繪示累積的熱量數據的表格。
第11A-11B圖是根據本發明的一些實施例,繪示累積的熱 量數據的表格。
第12圖是根據本發明的一些實施例,執行烘烤模組的驗證測試之方法的流程圖。
第13圖是根據本發明的一些實施例,執行烘烤模組的驗證測試之方法的流程圖。
以下內容提供了很多不同的實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件之上,可能包含第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。此外,本發明實施例在不同範例中可重複使用參考數字及/或字母,此重複是為了簡化和清楚之目的,並非指定所討論的不同實施例及/或組態之間的關係。
再者,空間上相關的措辭,例如「在......之下」、「在......下方」、「下方的」、「在......上方」、「上方的」和其他類似的用語可用於此,以方便描述如圖所示之一元件或部件與其他元件或部件之間的關係。此空間上相關的措辭意欲包含除圖式描繪之方向外,使用或操作中的裝置之不同方向。裝置可以其他方向定位(旋轉90度或其他定位方向),且在此使用的空間相關描述可同樣依此解讀。
隨著半導體尺寸縮減製程持續進行,較小的部件 尺寸意味著在半導體製造中,均勻性控制變得更重要。舉例來說,半導體微影製程通常包含曝光後烘烤(post-exposure baking,PEB)步驟,此步驟烘烤經曝光的光阻(photoresist)圖案。可以藉由包含加熱板的烘烤模組來完成烘烤,其中加熱板經由熱輻射來加熱晶圓。然而,如果整個加熱板的熱分布不均勻,則晶圓的不同區域可能會受到不同的加熱。在曝光後烘烤(PEB)製程中缺乏均勻性可能會導致圖案尺寸中不想要的變異,這可能會降低半導體裝置效能。因此,加熱板在用於半導體製造之前,可能需要校準和驗證。
遺憾的是,驗證這些加熱板的現存方法還無法精確地預測加熱板的效能。舉例來說,現存用於加熱板的驗證測試方法通常依賴在預定的時間點進行單一溫度量測,以評估加熱板的熱均勻性效能。然而,這並非決定熱均勻性的最佳方式。因此,使用這些加熱板執行的半導體製造可能導致裝置尺寸在臨界尺寸(critical dimension,CD)上有過多的波動,其降低積體電路裝置的效能,且甚至可能導致裝置失效。
根據本發明實施例的各種觀點,藉由決定一段時間的累積熱量,而不是單一測量,來評估熱均勻性效能。然後將累積的熱量與各種預定的底限進行比較,以決定加熱板是否合格準備好用於實際的半導體製造,在下文更詳細討論。
第1-4圖根據本發明實施例說明半導體裝置100在各個製造階段的簡化概略局部剖面側視示意圖。參照第1圖, 半導體裝置100包含基底140。在一些實施例中,基底140是摻雜p型摻雜物例如硼(boron)的矽基底(例如p型基底)。或者,基底140可以是其他合適的半導體材料。舉例來說,基底140可以是摻雜n型摻雜物例如磷(phosphorous)或砷(arsenic)的矽基底(例如n型基底)。基底140可以包含其他的元素半導體,例如鍺(germanium)和鑽石(diamond)。基底140可以選擇性地包含化合物半導體及/或合金半導體。此外,基底140可以包含磊晶層(epitaxial layer,epi layer),其為了提升效能可以應變(strained),並且可以包含絕緣體上的矽(silicon-on-insulator,SOI)結構。
在一些實施例中,基底140是大抵上導電或半導電(semi-conductive)的,其電阻(electrical resistance)可以小於約103歐姆-公尺(ohm-meter)。在一些實施例中,基底140含有金屬、金屬合金或具有分子式MXa的金屬氮化物(nitride)/硫化物(sulfide)/硒化物(selenide)/氧化物(oxide)/矽化物(silicide),其中M是金屬,且X是N、S、Se、O、Si,且其中「a」在從約0.4至2.5的範圍內。舉例來說,基底140可以含有鈦(Ti)、鋁(Al)、鈷(Co)、釕(Ru)、氮化鈦(TiN)、二氮化鎢(WN2)或氮化鉭(TaN)。
在一些其他的實施例中,基底140含有介電常數在從約1至約140的範圍內的介電材料。在一些其他的實施例中,基底140含有矽、金屬氧化物或金屬氮化物,其分子式是MXb,其中M是金屬或矽,且X是N或O,且其中「b」是在從約0.4至2.5的範圍內。舉例來說,基底140可以含有二氧化矽 (SiO2)、氮化矽(silicon nitride)、氧化鋁(aluminum oxide)、氧化鉿(hafnium oxide)或氧化鑭(lanthanum oxide)。
在基底140上方形成材料層150。可以經由微影(lithography)製程將材料層150圖案化,因此也可以稱為可圖案化的層。在一實施例中,材料層150包含介電材料,例如氧化矽或氮化矽。在另一實施例中,材料層150包含金屬。在又另一實施例中,材料層150包含半導體材料。
在一些實施例中,材料層150具有與光阻不同的光學特性。舉例來說,材料層150具有與光阻不同的折射率(n)、消光係數(k)或厚度(T)值。在一些實施例中,材料層150包含不同的高分子結構的至少一者、酸不穩定的分子(acid labile molecule)、光酸產生劑(photo acid generator,PAG)載入(loading)、消光劑(quencher)載入、發色團(chromophore)、交聯劑(cross linker)或溶劑,這些導致與光阻不同的折射率(n)。在一些實施例中,材料層150和光阻具有不同的抗蝕刻性。在一些實施例中,材料層150含有抗蝕刻分子。此分子包含低大西(onishi)數結構、雙鍵、三鍵、矽、氮化矽、鈦(Ti)、氮化鈦(TiN)、鋁(Al)、氧化鋁、氮氧化矽(SiON)或前述之組合。可以理解的是,在其他實施例中,基底140和材料層150可以各自包含額外的合適材料組成。
在基底140上方形成光阻層160(具體而言,在材料層150上方)。可以藉由旋轉塗布(spin coating)製程170形成光阻層160。在第1圖繪示的實施例中,光阻層160包含正型光阻(positive photoresist),但應理解的是,在其他的實施例中, 光阻層160可以包含負型光阻(negative photoresist)。光阻層160含有化學成分,例如高分子、光酸產生劑(PAG)、消光劑、發色團、界面活性劑(surfactant)、交聯劑等。在一實施例中,光酸產生劑與高分子鍵結。在後續的微影(photolithography)製程中,光子(photons)引發光酸產生劑的分解。結果,形成少量的酸,少量的酸在光阻層160中進一步引發一系列化學轉化。在光阻材料中的消光劑有助於改善臨界尺寸(critical dimension,CD)控制。
應理解的是,以上討論的化學成分(例如高分子、光酸產生劑、消光劑、發色團、界面活性劑、交聯劑)可以是光阻層160的少部分(例如介於約1%和10%之間,例如約5%)。光阻層160的主要部分是溶劑。在一些實施例中,溶劑可以包含丙二醇甲醚(Propylene Glycol Monomethyl Ether,PGME)、丙二醇甲醚醋酸酯(Propylene Glycol Monomethyl Ether Acetate,PGMEA)或前述之組合。在使用旋轉塗布或其他方式將光阻層160塗布於材料層150上方之前,可以在瓶或其他合適的容器中,將溶劑與光阻層160的其他化學成分(例如高分子)混合。
現在參照第2圖,對半導體裝置100執行曝光製程220。在說明性的實施例中,曝光製程220包含暴露於極紫外光(extreme ultraviolet,EUV)輻射中。舉例來說,作為曝光的一部分,使用具有小於約250奈米(nanometer,nm)之波長的光源(或照射源),例如在一些實施例中波長約13.5nm。在一些實施例中,照射源具有:氟化氪(KrF)、氟化氬(ArF)、極紫外光 或電子束(E-beam)中的至少一個。光源將光阻層160的一或多個預定區(又稱為曝光部分)160A曝光,同時經由使用圖案化的微影光罩,例如極紫外光遮罩,防止光阻層160的其他區(又稱為未曝光部分)160B被曝光。
現在參照第3圖,對半導體裝置100執行烘烤製程250。因為烘烤製程250在將光阻層160曝光的曝光製程220之後執行,烘烤製程250也可稱為曝光後烘烤(post-exposure-baking,PEB)製程。也可以在腔室(chamber)中使用加熱板執行烘烤製程250,其細節將會在下文中更詳細討論。
現在參照第4圖,在執行烘烤製程250之後,對光阻層160執行顯影(developing)製程300。顯影製程300包含對光阻層160施加顯影劑溶液,顯影劑溶液包含溶劑顯影劑,例如2-庚酮(2-heptanone)、乙酸正丁酯(n-Butyl acetate,NBA)、乙酸異戊酯(isoamyl acetate)或前述之組合。顯影製程300將光阻層160圖案化。假設使用正型(positive-tone)光阻,在顯影製程300之後,移除光阻層160的曝光部分160A,因為暴露於極紫外光改變光阻的化學結構,並使光阻更易溶於顯影劑溶液中。同時,保留光阻層160的未曝光部分160B,藉此在移除的部分(又稱為曝光部分)160A的位置中形成開口310。另一方面,如果光阻層160是負型(negative-tone)光阻,則在顯影製程300之後將保留曝光部分160A,而未曝光部分160B將被移除。在任何情況下,現在可以使用圖案化的光阻層160,以在後續的生產製程中將其下方的材料層150圖案化。
第5圖是半導體製造系統400的一部份的簡化概略 示意圖,其可以用來執行如上所述參照第1-4圖的各種製造過程。第5圖繪示的箭號表示使用製造系統(又可稱為系統)400的各種設備來執行半導體製造過程的連續順序。
製造系統400包含光阻塗布設備410。光阻塗布設備410可用於在材料層150上方塗布光阻層160,其作為如上所述參照第1圖的討論之旋轉塗布製程170的一部分。在一些實施例中,光阻塗布設備410包含用來將光阻化學物質(例如高分子、光酸產生劑等)與溶劑混合的瓶或容器。光阻塗布設備410也可以包含用來噴灑液體的噴嘴,液體例如是由光阻化學物質和溶劑製成的混合物。光阻塗布設備410也可以包含在晶圓上塗布光阻層160時,用來支撐及/或旋轉晶圓的臺。
製造系統400包含曝光設備430。曝光設備430可用於將光阻層160曝光,其作為如上所述參照第2圖的曝光製程220的一部分。在一些實施例中,曝光設備430包含極紫外光掃描器(scanner)。在其他的實施例中,也可以使用其他種類的掃描器。
製造系統400包含曝光後烘烤(PEB)設備450。曝光後烘烤設備420可以用於烘烤光阻層160,其作為如上所述參照第3圖的烘烤製程250的一部分。在一些實施例中,烘烤設備450包含「加熱板」,其為經加熱的板,作為軌道半導體製造系統的一部分。如上所述,在曝光後烘烤(PEB)製程期間的加熱均勻性可能對裝置效能有顯著影響,例如對臨界尺寸均勻性有影響。因此,本發明實施例使用熱能的累積量來決定特定的曝光後烘烤設備(例如加熱板)是否是合格的可用在實 際半導體製造,例如用於曝光後烘烤製程。這將在以下更詳細討論。
製造系統400包含顯影設備470。顯影設備470可以用來將光阻層160顯影,其作為如上所述參照第4圖的顯影製程300的一部分。在一些實施例中,顯影設備470包含噴嘴或其他散布單元,其配置為散布顯影劑溶液。
製造系統400也包含控制器480。控制器480包含程式設計指令(programming instructions)用於操作設備410-470中的一或多個設備。在一些實施例中,控制器480可以是獨立的控制器,或者在其他實施例中,控制器480也可以整合在設備410-470的其中一或多個設備中。應理解的是,製造系統400可以在前述的設備410-470之外,再包含一或多個設備。在藉由設備410-470執行的步驟之前、期間或之後,這些額外的設備可以用來執行半導體製造的其他步驟。然而,為了簡化的目的,在此不詳細討論這些額外的設備。
第6A圖是根據本發明實施例的烘烤模組500的概略上視示意圖,且第6B圖是根據本發明實施例的烘烤模組500的概略剖面側視示意圖。烘烤模組500是如上所述的曝光後烘烤烘烤設備450的一部份,且可以用於執行曝光後烘烤製程,以及涉及加熱的其他製程。烘烤模組500包含外殼510,其可以包含可密封的腔室(chamber)。晶圓520(例如產品晶圓或測試晶圓)可以放置在烘烤模組500內並將其加熱。在第6B圖說明的實施例中,晶圓520包含測試晶圓,其在烘烤製程中用於量測與烘烤模組500有關的溫度資料。然後溫度資料將會被用 來決定烘烤模組是否合格準備用於實際的半導體製造,如以下更詳細的討論。
繼續參照第6B圖,烘烤模組500經由加熱板530產生熱或熱能,加熱板530放置在晶圓520底下。在一些實施例中,加熱板530包含陶瓷材料且可以是數英寸(inches)(或數十英寸)厚。可以使用電阻加熱產生熱能,藉由電流流過嵌在加熱板530中或附近的一或多個電阻器,將電能轉換成熱能。可以調整電阻器的電阻及/或電流量來改變由烘烤模組500產生的熱量,並因此改變在烘烤模組500內的溫度。可以藉由內部或外部的控制器,例如前述第5圖的控制器480,控制烘烤模組500的溫度調整。
在加熱板530上設置多個間隙插銷(gap pins)540。這些間隙插銷540將晶圓520與加熱板530隔開,使得晶圓520不與加熱板530的頂面直接物理性接觸。以這種方式,由加熱板530產生的熱能可以更均勻地輻射在整個晶圓520的表面,而不集中在晶圓520的某些點上。間隙插銷540的高度決定(或大抵上等於)晶圓520和加熱板530分開的距離。在一些實施例中,間隙插銷540的高度(或晶圓520和加熱板530分開的距離)是在從約50微米(microns)到約200微米的範圍內,例如約100微米。根據本發明的一實施例,在第6A圖的上視示意圖更清楚說明間隙插銷540的位置。
為了將晶圓520固定到加熱板530上,在加熱板530中設置真空孔550。每一個真空孔550垂直地延伸穿過加熱板530。真空孔550也可以對烘烤模組500提供外部的出口。以 這種方式,在晶圓520底下的區域可以與烘烤模組500外部的裝置例如真空泵(pump)流體連通。真空泵可將空氣從晶圓520底下的區域抽出,藉此在晶圓520底下產生局部真空。局部真空確保晶圓520可固定於加熱板530,並避免晶圓520非故意或無意的移動。根據本發明的一實施例,在第6A圖的上視示意圖中也更清楚地說明真空孔550的位置。
烘烤模組500更包含晶圓導件(guides)560,在第6A圖所示的實施例中繪示說明六個晶圓導件560。晶圓導件560位於加熱板530的邊緣附近,並避免晶圓520滑出烘烤模組500。換句話說,因為晶圓520的側壁會接觸晶圓導件560,晶圓導件560限制了晶圓520超出晶圓導件560的可能移動。晶圓導件560也有助於界定或限制晶圓烘烤面積。
第7圖說明烘烤模組500的簡化概略剖面側視示意圖,其顯示在烘烤模組內空氣的移動。空氣的移動說明烘烤模組500的排氣部件如何作用,以從烘烤模組500移除不想要的材料。舉例來說,由第7圖中的多個箭號說明空氣移動方向。如第7圖所示,經由在烘烤模組500的「頂部」的一或多個進氣口580將空氣推入烘烤模組500。然後,空氣向下和側向前進,接著經由一或多個排氣口590將空氣吸回(與污染物顆粒一起),並且從烘烤模組500排出,在說明的實施例中,排氣口590也位於烘烤模組500的「頂部」。在烘烤製程期間,可能產生各種污染物,例如化學顆粒(例如從顯影劑溶液中蒸發)。不希望這些污染物顆粒留在烘烤模組500內。因此,藉由空氣的流動,排氣部件(其可以包含一或多個風扇)的 操作有效地從烘烤模組500移除污染物。
第8圖說明加熱板530和晶圓520的多個感測器的疊加概略上視示意圖。在第8圖中以虛線繪示晶圓520的輪廓或邊界。如上所述,晶圓520是測試晶圓或者不是產品晶圓,因此可以在晶圓520上放置感測器,而不用擔心損壞其中的電路。在第8圖說明的實施例中,在晶圓520上放置多個熱感測器(又稱為晶圓熱感測器)1-29(例如用於量測溫度的感測器),使得晶圓熱感測器1-29可以量測晶圓對應於晶圓熱感測器1-29的位置的那些部分經歷的溫度。同時,加熱板530包含多個加熱器通道,例如在第8圖說明的實施例中的通道CH1-CH13。通道CH1-CH13各自對應於加熱板530的區域或區,可以單獨及/或獨立地對這些區域或區施加熱。
每一個通道CH1-CH13可以與晶圓熱感測器1-29中的一或多個有關。換句話說,將一或多個晶圓熱感測器1-29放置在位於每一個通道CH1-CH13上方的晶圓520的區域中。舉例來說,通道CH1與晶圓熱感測器10、14、15、16和20有關,通道CH2與晶圓熱感測器17、18、6、9、22和25有關,通道CH3與晶圓熱感測器2、7、5、6、8和9有關等。以下的表1列出通道號碼與其各自有關聯的晶圓熱感測器。
應注意的是,晶圓熱感測器1-29中的一些在多個通道中「共用」。舉例來說,因為晶圓熱感測器6實際上位於通道CH2和CH3「之間」,在通道CH2和CH3之間「共用」晶圓熱感測器6。作為另一範例,因為晶圓熱感測器25實際上位於通道CH2和CH5「之間」,在通道CH2和CH5之間「共用」晶圓熱感測器25。這些共用的晶圓熱感測器在表1中以括號表示。舉例來說,通道CH2與晶圓熱感測器17、18、6、9、22和25有關,其中晶圓熱感測器17和18與通道CH2有關且沒有其他通道,但晶圓加熱感測器6、9、22和25中的每一個在通道CH2與一或多個其他通道之間「共用」。
應理解的是,如上所述的通道和晶圓熱感測器的配置只是提供一個範例。在其他的實施例中,可以有不同數量的通道及/或不同數量的晶圓熱感測器,且他們各自的位置也可以不同。
在加熱板530可用於實際的半導體製造之前,需要經歷驗證程序。使用加熱板530執行各種測試和量測,以決 定加熱板530是否可以橫跨不同區域(例如通道CH1-CH13)均勻地產生熱。根據本發明實施例的各種觀點,不是溫度的單一量測,而是使用累積熱量(或熱的總量)來決定加熱板530(或烘烤模組500)是否合格用於實際的半導體製造。
舉例來說,現在參照第9圖繪示說明曲線圖700。曲線圖700包含溫度對時間的曲線710。換句話說,第9圖中的X軸代表時間,例如以秒為單位,且第9圖中的Y軸代表溫度,例如以攝氏(Celsius)溫度為單位。原點(例如X軸和Y軸的交點)對應0秒的時間和攝氏0度的溫度。
曲線710代表如上所述藉由晶圓熱感測器1-29中的一或多個及/或通道CH1-CH13量測一段時間的溫度。舉例來說,曲線710包含溫度快速上升(例如藉由烘烤模組500)的上升(ramp up)區720,溫度開始達到平線區(或靜態水平)的靜態區730,以及溫度大部分停留在靜態水平的區740。然而,應理解的是,曲線710的形狀只是一個非限定的範例,並且在其他實施例中,也可以用不同方式定義這些區720-740。
更詳細而言,在X0的時間,晶圓520具有起始溫度Y0,在一些實施例中,起始溫度Y0可以是室溫(例如約攝氏25度)。在X0之後的一些時間,因為由加熱板530產生的熱,晶圓520的溫度開始上升。在X1的時間,溫度達到Y1,在說明的實施例中,將Y1定義為目標靜態溫度(例如在說明的實施例中的Y4)減去攝氏30度。舉例來說,假設目標靜態溫度Y4,亦即晶圓應該快速上升到的溫度,是設定為攝氏88度,則溫度Y1等於攝氏88-30=58度。如此,只要晶圓熱感測器偵測到 晶圓溫度已經達到攝氏58度,紀錄對應的時間,其為X1。X1和Y1標示上升區720的開始。可以理解的是,攝氏88度的目標靜態溫度,以及在目標靜態溫度和溫度X1之間的差異溫度攝氏30度只是範例,在不同的實施例中可以改變他們各自的數值。
隨著烘烤模組500內的溫度繼續升高,在時間X1之後的預定時間X2,溫度達到水平Y2。在一些實施例中,X2定義為X1之後34.5秒,但可以理解這個數值可以在不同的實施例中改變。溫度Y2大於溫度Y1,但可能小於(雖然接近)目標靜態溫度Y4。X2和Y2標示上升區720的結束,也是靜態區730的開始。
在時間X3,晶圓520達到Y3的溫度。在一些實施例中,溫度Y3等於目標靜態溫度Y4或與Y4之間相差可忽略的量(例如小於攝氏一度)。X3和Y3標示靜態區730的結束以及區740的開始。
如上所述,本發明實施例決定晶圓經歷過的累積熱量,而不是讀取晶圓的單一溫度,以決定烘烤模組500是否能提供足夠的溫度均勻性。表達累積熱量的一種方式是藉由在曲線710的不同區,例如在上升區720和在靜態區730中,取得曲線710的積分。換句話說,決定在上升區720的曲線底下的面積(例如在曲線710下方),並且決定在靜態區730的曲線底下的另一面積。為了簡化的目的,可以藉由晶圓熱感測器1-29得到多個資料樣本(關於晶圓溫度),並且可以對每一個區720和730,將每一個晶片熱感測器量測到的溫度加總,以表 示或近似與每一個區720和730有關的積分數值。
為了方便隨後的討論,在第10A-10B圖和第11A-11B圖中分別繪示兩個不同的加熱板1和2的溫度資料點。更詳細來說,第10A-10B圖繪示說明表810和表811,其包含加熱板1的資料,以及第11A-11B圖繪示說明表820和表821,其包含加熱板2的資料。加熱板1和加熱板2兩者都是上述討論的加熱板530的實施例,但加熱板1和加熱板2屬於兩個不同的實際烘烤模組。
參照第10A-10B圖,表810包含在上升區720(在「上升」行底下)和靜態區730(在「靜態」行底下)兩者中,藉由每一個晶圓熱感測器1-29(例如分別對應電阻溫度感測器(Resistance Temperature Detector,RTD)1-RTD29的列)量測到之累積的(例如總和)晶圓溫度。在一些實施例中,藉由晶圓熱感測器1-29每0.5秒量測溫度資料。然而,0.5秒間隔只是範例,且在其他的實施例中可以是其他值(例如0.1秒或1秒)。從上升區720的開始時間X1到結束時間X2,對於量測到的晶圓溫度取得多個資料樣本。對每一個晶圓熱感測器1-29,將這些資料樣本加總,以獲得表810的「上升」行的累積溫度值。類似地,藉由將從時間X2到時間X3的資料樣本加總,得到「靜態」行的累積溫度值。
舉例來說,對晶圓熱感測器1(亦即RTD1),從時間X1到時間X2(亦即從上升區720的開始到結束)將每0.5秒量測的溫度加總,且結果的數值如表810所示是5030.92100。對相同的晶圓熱感測器1,從時間X2到時間X3將每0.5秒量測的 溫度加總,且結果的數值是4224.44400。如此,數值5030.92100代表在上升區720中晶圓熱感測器1經歷的總熱量,且數值4224.44400代表在靜態區730中晶圓熱感測器1經歷的總熱量。換句話說,對曲線710而言,數值5030.92100和4224.44400分別代表上升區720和靜態區730的曲線底下的面積(或積分)。藉由對每一個晶圓熱感測器1-29重複相同的步驟來填充表810的其餘部分。應理解的是,取多個樣本以近似積分,而不是直接執行實際的積分計算,原因之一是由於現實世界的硬體和軟體的限制。實際的積分計算可能需要無限數量的溫度樣本,這在半導體製造環境中是不實際的。更多取樣(例如以更短的時間間隔取樣,例如每0.1秒或甚至每0.05秒)可以使得在此的計算更近似實際的積分計算,但代價是更慢的處理時間。根據本發明實施例的各種觀點,每0.5秒取樣容許相對較快地執行上述程序,同時也足夠接近地類似於積分計算。然而,可以理解的是,在其他的實施例中,取決於具體需求,可以對0.5秒間隔進行調整。舉例來說,當需要更精確的資料但不在乎程序時間時,可以降低0.5秒間隔。反之,當需要更快地執行程序,但是高準確度不那麼重要時,可以增加0.5秒間隔。
在產生表810之後,可以計算出對應於上升區720中的晶圓熱感測器1-29的所有累積熱量資料之標準差。在一些實施例中,計算出3個σ(sigma)(例如三個標準差)數值,其在說明的實施例中為4.539033666。也計算出在靜態區730中的所有晶圓熱感測器1-29的平均值(例如在「靜態」行中的數 值總和除以29),其在說明的實施例中計算為4224.57169。這些數值之後將會用來決定加熱板1是否合格,這將會在以下更詳細討論。
繼續參照第10A-10B圖,與表810相似地產生表811,差別是:表810中的列對應於個別的晶圓熱感測器1-29的資料樣本,而表811中的列對應於通道CH1-CH13的資料樣本。如上所述,每一個通道CH1-CH13(在表811中標示為CH1-CH13)與一或多個晶圓熱感測器1-29有關。如此,可以藉由將所有與其相關的通道資料平均,計算出每一個通道的資料。作為範例,通道CH1與晶圓熱感測器10、14、15、16和20有關。如表810所示,晶圓熱感測器10、14、15、16和20量測到的數據分別為5034.437、5035.672、5033.15、5031.554和5033.64。將這些數值加在一起並且除以5(因為有五個感測器),其產生表811中的通道CH1的累積溫度的結果為5033.69060。這個5033.69060的數值是對上升區720而言,並且可以對靜態區730執行相同的步驟,以得到數值4224.70320。對於沒有多個有關的晶圓熱感測器的通道,它們在表811中的數值與在表810中相對應的晶圓熱感測器的數值相同。舉例來說,通道CH13只與晶圓熱感測器27有關。如此,在表811中對於通道CH13的數值與在表810中對於晶圓熱感測器27的數值相同。以這種方式,填充表811的其餘部分。
如上所述,在表810和811中顯示之量測的數據樣本表示由加熱板1傳遞且晶圓520經歷的累積熱能。然後將累積熱能與一或多個預定的底限進行比較,來決定加熱板1是否 應該合格作為準備好用於生產製造的加熱板。舉例來說,一個預定的底限與通道CH1-CH13在上升區經歷的累積熱能的範圍變化有關。如表811所示,此範圍變化可以用最大累積熱能(對應於通道CH10)減去最小累積熱能(對應於通道CH4)計算。此差值計算為2.90650。在一實施例中,預定的底限為10。因為通道CH1-CH13在上升區經歷的累積熱能的範圍變化為2.90650,其小於10,因此,加熱板1通過此預定的底限。對於具有範圍變化大於10的加熱板,視為沒有通過加熱板驗證測試。
另一預定的底限與在上升區中的累積熱能的三個標準差值有關。如表810所示,計算出的三個標準差值為4.539033666。預定的底限可以定義為10。如此,因為加熱板1的三個標準差值4.539033666小於10,加熱板1通過測試。然而,對於相應的三個標準差值大於10的加熱板,視為沒有通過加熱板驗證測試。
另一預定的底限與不同加熱板的靜態區中的累積熱能之間的變化有關。參照第11A-11B圖,表820和821分別以與表810-811相同的方式產生,只除了這些資料點是從不同的加熱板2取得,而表810-811中的資料點是從加熱板1取得。即使加熱板1和2設計和配置為大抵上相同,它們之間仍可能存在微小差異。如此,在表810-811中的數值可能與表820-821中的數值有些微差異。如表810和820所示,加熱板1之靜態區的平均累積熱能為4224.57169,加熱板2之靜態區的平均累積熱能為4225.02000。計算出它們之間的差值為0.44831。預定的 底限可能定義成1.65。如此,因為它們的差值0.44831小於1.65,加熱板1和2通過測試。然而,對於累積熱能的差值大於1.65的加熱板,視為沒有通過加熱板驗證測試。
可以理解的是,前述討論的這些預定的底限僅為非限制的範例,且在不同的實施例中可以使用其他合適的數值。舉例來說,如果需要更嚴格的驗證標準,在一些實施例中,對於上述討論的範圍變化之預定底限為10可能降低為3。甚至,上述用於計算累積熱能的算法也是非限制的範例。此外,可以對靜態區720進行與靜態區730相同的測試。甚至,可以理解的是,烘烤模組的驗證可以包含通過一或多個前述的測試(或其他與熱能的累積量有關的額外測試),但不需要全部通過。也可以理解,與熱能的累積量有關的這些測試可以對上升區720或靜態區730之外的其他區重複,例如對區740。無論如何執行對於烘烤模組的準確驗證測試,可以理解的是,使用累積的熱量或熱能來進行驗證測試,而不是僅對溫度做單一測量。如此,本發明實施例可以提供更準確評估烘烤模組的熱均勻性效能。
第12圖是說明對於烘烤模組500執行驗證測試的方法900之流程圖。在各種實施例中,可以至少部分地使用控制器,例如第5圖的控制器480,來執行方法900的一或多個步驟。
方法900包含步驟910以檢查溫度,例如使用上述的晶圓熱感測器1-29來量測溫度。這些感測器可以在測試晶圓上施行,例如在晶圓520上。可以在烘烤模組500正在升溫 時(例如在上升區720中),或者可以在溫度大部分到達穩定態時(例如在靜態區730或740中)量測溫度。
方法900包含步驟920以使得操作參數最佳化。操作參數可以是烘烤模組的參數,可以藉由前述的控制器480來控制參數或將參數最佳化。在一些實施例中,參數的最佳化可以包含改變通道CH1-CH13中的一或多個的設定,以藉由通道調整產生的熱量。舉例來說,由與通道CH1有關的熱感測器量測到的溫度可能大抵上大於由剩下的通道的熱感測器量測到的溫度。這可能指出通道CH1產生太多熱。為了確保溫度均勻性,步驟920可以調整烘烤模組500的設定,使得通道CH1產生比之前更少的熱。
方法900包含步驟930以決定是否已經完成數目N的迴圈(loops)。執行步驟910和920可以視為一個迴圈。在一些實施例中,N等於3。在其他的實施例中,可以使用其他數值的N。如果來自決定步驟930的回答為否,則方法900回到步驟910,以至少再一次重複步驟910和920的迴圈。
如果來自決定步驟930的回答為是,則方法900前進到步驟940,以將烘烤模組的累積熱量資料與一或多個預定的底限比較。如上所述,本發明實施例使用熱的累積量作為烘烤模組的熱均勻性效能的評估,其相較於只量測一個溫度更準確。在各種實施例中,可以根據上述參照第9-11圖的演算規則,執行累積熱量的量測,並與預定的底限比較。
基於步驟940的結果,方法900執行步驟950以決定烘烤模組是否合格用於準備好生產製造。舉例來說,如果 比較指出量測的累積熱量資料無法通過預定的底限(例如當資料應該低於預定的底限時卻超過預定的底限),則烘烤模組視為沒通過驗證,且在烘烤模組合格之前需要採取額外的步驟。可以將步驟940-950統稱為「判斷」步驟,因為這些步驟「判斷」烘烤模組是否準備好用於實際的半導體製造。
注意在一些實施例中,這裡的驗證與曝光後烘烤製程有關,而沒有與其他烘烤或加熱製程相關。換句話說,在此的烘烤模組可能合格於可用在實際製造中的非曝光後烘烤(non-PEB)加熱製程,因為這些加熱製程可能不需要像曝光後烘烤製程那樣嚴格的熱均勻性。因此,對於驗證用在非曝光後烘烤製程的烘烤模組之驗證標準可能較低,且即使烘烤模組無法通過較嚴苛的曝光後烘烤驗證測試,此烘烤模組仍可能被判斷為通過非曝光後烘烤驗證測試。
如果來自步驟950的回答為是,則步驟900前進到步驟960,以驗證烘烤模組已經準備好用於實際的半導體製造,具體而言是作為曝光後烘烤製程中的烘烤模組。如果來自步驟950的回答為否,則方法900前進到步驟970,以決定是否已經達到數目M的迴圈數,其中M>N。在一些實施例中,M等於10。如上所述,執行步驟910和920統稱為一個迴圈。如果尚未達到數目M的迴圈數,則方法900再次回到步驟910。如果已經達到數目M的迴圈數,且來自步驟940-950的「判斷」結果指出烘烤模組尚未準備好用於生產,則方法900前進到步驟980,以取消烘烤模組的資格。在一些實施例中,烘烤模組從供應商購買而來,則這些烘烤模組可能被送回給供應 商修理或更換。
在其他的實施例中,可以對烘烤模組進行某些微調,而不需要送回給供應商。舉例來說,為了補償溫度均勻性不足,可以調整間隙插銷540中的一或多個的高度,或可以調整真空孔550中的一或多個的真空壓力,或可以調整排氣速度或配置。在進行這些調整之後,方法900可以再次重複,以查看烘烤模組(在進行這些調整之後)是否合格。如果烘烤模組還是無法合格,接著可能被送回給供應商。也可以理解的是,在一些實施例中,也可以在每一個判斷程序之後,例如在執行步驟940-950之後,進行這些調整(關於間隙插銷、真空孔或排氣組件)。
第13圖是說明對烘烤模組執行驗證測試的簡化方法1000的流程圖。方法1000包含量測烘烤製程的熱能累積量的步驟1010。藉由烘烤模組將晶圓加熱,以執行烘烤製程。
方法1000包含步驟1020,其基於量測到的熱能累積量來決定烘烤模組是否合格用在實際的半導體製造。
方法1000包含步驟1030,其回應於烘烤模組是合格用在實際的半導體製造的決定,使用烘烤模組執行曝光後(PEB)製程。
方法1000包含步驟1040,其回應於烘烤模組不合格的決定,變更烘烤模組的一或多個硬體組件。之後,方法1000可以重複步驟1010和1020。在一些實施例中,烘烤模組包含設置在晶圓和加熱板之間的多個間隙插銷,且變更包含調整間隙插銷中的一或多個的高度。在一些實施例中,烘烤 模組包含設置在加熱板中的多個真空孔,每一個真空孔配置為產生部分真空來固定晶圓的位置,且變更包含調整藉由真空孔中的一或多個產生的部分真空。在一些實施例中,烘烤模組包含排氣組件,其配置為從烘烤模組中移除汙染物,且變更包含調整排氣組件的速度。
在一些實施例中,使用放置在晶圓上的一或多個熱感測器執行量測步驟1010。
在一些實施例中,量測步驟1010包含分別在多個時間點量測晶圓的多個溫度,以及計算這些溫度的總和作為熱能的累積量。
在一些實施例中,方法1000可以重複量測多次,以得到多個不同的熱能累積量,其中每一次執行量測都使用多個熱感測器中不同的一個。在這個情況下,決定包含計算這些不同的熱能累積量的標準差,以及決定計算出的標準差是否通過預定的底限。在一些實施例中,計算標準差包含計算3σ(three-sigma)值。
在一些實施例中,烘烤模組包含多個加熱區,且其中決定步驟1010包含以下的子步驟:對於每一個加熱區,基於量測決定各自的熱能累積量,其中加熱區的第一個具有最大的熱能累積量,且加熱區的第二個具有最小的熱能累積量;計算最大的熱能累積量和最小的熱能累積量之間的差值;以及決定差值是否小於預定的底限。
在一些實施例中,對多個烘烤模組執行量測,以對每一個烘烤模組產生不同的熱能累積量;以及決定包含決 定不同的熱能累積量是否小於預定的底限。
可以理解的是,可以在第13圖中的步驟1010-1040之前、期間或之後執行額外的步驟。舉例來說,方法1000可以包含如果烘烤模組不合格時,將烘烤模組送回供應商修理或更換的步驟。為了簡化的目的,在此不詳細討論其他的程序。
基於以上的討論,可以看出,本發明實施例提供在用於驗證烘烤模組的傳統方法之上的各種優點。然而,可以理解的是,不是所有優點都需要在此討論,並且其他實施例可以提供不同的優點,以及不是對所有的實施例都需要特定的優點。優點之一是藉由使用熱能的累積量作為熱均勻性效能的評估,本發明實施例可以更準確地預測烘烤模組(包含加熱板)在領域中將會執行得有多好。因此,對於通過驗證測試的烘烤模組可以有更大的信心會在生產製程中執行良好,例如在曝光後烘烤製程中,其熱均勻性控制是重要的。使用根據本發明實施例的一些觀點驗證的烘烤模組所製造的裝置將具有改善的效能,舉例來說更好的臨界尺寸均勻性和臨界尺寸範圍。另一個優點是以上討論的製程與現有的製程流程相容且易於施行。
本發明實施例提供烘烤模組的驗證測試方法。此方法包含:量測烘烤製程的熱能的累積量,其中烘烤製程藉由烘烤模組執行以加熱晶圓;以及基於量測到的熱能的累積量,決定烘烤模組是否合格用在實際的半導體製造。在一些實施例中,使用放置在晶圓上的一或多個熱感測器執行量 測。在一些實施例中,量測包含:分別在多個時間點量測晶圓的多個溫度;以及計算多個溫度的總和作為熱能的累積量。在一些實施例中,此方法更包含:重複量測多次以獲得多個不同的熱能累積量,其中每一次量測使用多個熱感測器中不同的一個來執行。在一些實施例中,決定包含:計算這些不同的熱能累積量的標準差;以及決定計算出的標準差是否通過預定的底限。在一些實施例中,計算標準差包含計算3σ(three-sigma)值。在一些實施例中,烘烤模組包含多個加熱區,且其中決定包含:基於量測決定每一個加熱區各自的熱能累積量,其中加熱區的第一個具有最大的熱能累積量,且加熱區的第二個具有最小的熱能累積量;計算最大的熱能累積量和最小的熱能累積量之間的差值;以及決定差值是否小於預定的底限。在一些實施例中,對多個烘烤模組執行量測,以產生每一個烘烤模組之不同的熱能累積量;以及決定包含決定不同的熱能累積量是否小於預定的底限。在一些實施例中,此方法更包含:回應於決定烘烤模組不合格,修改烘烤模組的一或多個硬體組件;以及在烘烤模組的一或多個硬體組件已經修改之後,重複量測和決定。在一些實施例中,烘烤模組包含設置在晶圓和加熱板之間的多個間隙插銷;且修改包含調整間隙插銷中的一或多個的高度。在一些實施例中,烘烤模組包含多個真空孔設置在加熱板中,每一個真空孔配置為產生部分真空以固定晶圓的位置;且修改包含調整真空孔中的一或多個所產生的部分真空。在一些實施例中,烘烤模組包含排氣組件配置為從烘烤模組移除汙染 物;且修改包含調整排氣組件的速度。在一些實施例中,此方法更包含在決定烘烤模組合格用在實際的半導體製造之後,使用烘烤模組來執行曝光後烘烤(PEB)製程。
本發明實施例的另一觀點包含烘烤模組的驗證測試方法。此方法包含烘烤放置在烘烤模組內的測試晶圓,藉由一或多個溫度感測器,量測在烘烤期間傳遞到測試晶圓的累積熱量;將量測到的累積熱量與預定的累積熱量底限進行比較;回應於比較指出量測到的累積熱量在預定的累積熱量底限內,決定烘烤模組是合格用於實際的半導體製造;以及回應於比較指出量測到的累積熱量在預定的累積熱量底限之外,決定烘烤模組是不合格用於實際的半導體製造。在一些實施例中,此方法更包含:回應於決定烘烤模組是不合格用於實際的半導體製造,對烘烤模組執行一或多個軟體或硬體的調整。
本發明實施例的另一觀點包含烘烤模組的驗證測試系統。此系統包含:烘烤模組配置為加熱測試晶圓;複數個熱感測器設置在烘烤模組中,每一個熱感測器配置為量測與烘烤模組有關的溫度資料;以及控制器配置為執行操作,操作包含:升高烘烤模組的溫度一段時間,基於隨著烘烤模組的溫度升高量測到的溫度數據,決定這段時間藉由烘烤模組傳遞的累積熱量;以及基於決定的累積熱量選擇性驗證可用於實際製造半導體的烘烤模組。在一些實施例中,這些熱感測器配置在測試晶圓的不同區中。在一些實施例中,烘烤模組包含具有複數個加熱通道的板,且其中每一個加熱通道 與熱感測器中的一或多個有關。在一些實施例中,烘烤模組是曝光後烘烤(PEB)設備的一部分。在一些實施例中,選擇性驗證包含:如果決定的累積熱量在預定的累積熱量限制內,烘烤模組是合格的可用於實際的半導體製造;以及如果決定的累積熱量超過預定的累積熱量限制,烘烤模組是不合格用於實際的半導體製造。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應該理解到,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。

Claims (20)

  1. 一種烘烤模組的驗證測試方法,包括:量測一烘烤製程的一熱能累積量,其中藉由一烘烤模組加熱一晶圓來執行該烘烤製程;以及基於該量測到的該熱能的累積量,決定該烘烤模組是否合格用於實際的半導體製造。
  2. 如申請專利範圍第1項所述之烘烤模組的驗證測試方法,其中該量測係使用一或多個熱感測器放置在該晶圓上執行。
  3. 如申請專利範圍第1項所述之烘烤模組的驗證測試方法,其中該量測包括:在複數個時間點分別量測該晶圓的複數個溫度;以及計算該些溫度的一總和作為該熱能累積量。
  4. 如申請專利範圍第1項所述之烘烤模組的驗證測試方法,更包括:重複該量測複數次,以獲得複數個不同的熱能累積量,其中每一次的該量測係使用複數個熱感測器中不同的一個執行。
  5. 如申請專利範圍第4項所述之烘烤模組的驗證測試方法,其中該決定包括:計算該些不同的熱能累積量的一標準差;以及決定該計算的該標準差是否通過一預定的底限。
  6. 如申請專利範圍第5項所述之烘烤模組的驗證測試方法,其中該計算該標準差包括計算一3σ(three-sigma)值。
  7. 如申請專利範圍第1項所述之烘烤模組的驗證測試方法, 其中該烘烤模組包括複數個加熱區,且其中該決定包括:基於該量測,決定該些加熱區中的每一個之一各自的熱能累積量,其中該些加熱區中的一第一個具有一最大熱能累積量,且該些加熱區中的一第二個具有一最小熱能的累積量;計算該最大熱能累積量和該最小熱能累積量之間的一差值;以及決定該差值是否小於一預定的底限。
  8. 如申請專利範圍第1項所述之烘烤模組的驗證測試方法,其中:對複數個烘烤模組執行該量測,以對該些烘烤模組中的每一個產生一不同的熱能累積量;以及該決定包括決定該不同的熱能累積是否小於一預定的底限。
  9. 如申請專利範圍第1項所述之烘烤模組的驗證測試方法,更包括:回應於該烘烤模組不合格的一決定,修改該烘烤模組的一或多個硬體組件;以及在修改該烘烤模組的該一或多個硬體組件之後,重複該量測和該決定。
  10. 如申請專利範圍第9項所述之烘烤模組的驗證測試方法,其中:該烘烤模組包含複數個間隙插銷設置在該晶圓和一加熱板之間;以及 該修改包括調整該些間隙插銷中的一或多個的一高度。
  11. 如申請專利範圍第9項所述之烘烤模組的驗證測試方法,其中:該烘烤模組包含複數個真空孔設置在一加熱板中,該些真空孔中的每一個配置為產生一部分真空,以固定該晶圓的一位置;以及該修改包括調整該些真空孔中的一或多個產生的該部分真空。
  12. 如申請專利範圍第9項所述之烘烤模組的驗證測試方法,其中:該烘烤模組包含一排氣組件配置為從該烘烤模組移除汙染物;以及該修改包括調整該排氣組件的一速度。
  13. 如申請專利範圍第1項所述之烘烤模組的驗證測試方法,更包括:在該烘烤模組是合格的用於實際的半導體製造的一決定之後,使用該烘烤模組執行一曝光後烘烤(PEB)製程。
  14. 一種烘烤模組的驗證測試方法,包括:烘烤放置在一烘烤模組內的一測試晶圓;經由一或多個溫度感測器,量測在該烘烤期間傳遞至該測試晶圓的一累積熱量;將該量測到的累積熱量與一預定的累積熱量底限比較;回應於該比較指示該量測到的累積熱量在該預定的累積熱量底限之內,決定該烘烤模組是合格於實際的半導體製 造;以及回應於該比較指示該量測到的累積熱量在該預定的累積熱量底限之外,決定該烘烤模組是不合格於實際的半導體製造。
  15. 如申請專利範圍第14項所述之烘烤模組的驗證測試方法,更包括:回應於該烘烤模組是不合格於實際的半導體製造之該決定,對該烘烤模組執行一或多個軟體或硬體調整。
  16. 一種烘烤模組的驗證測試系統,包括:一烘烤模組,配置為加熱一測試晶圓;複數個熱感測器,設置在該烘烤模組內,該些熱感測器中的每一個配置為量測與該烘烤模組有關的溫度資料;以及一控制器,配置為執行複數個操作,該些操作包含:將該烘烤模組的一溫度上升一段時間;基於隨著該烘烤模組的該溫度上升之該量測到的溫度資料,決定該烘烤模組在該段時間傳遞的一累積熱量;以及基於該決定的累積熱量,選擇性地驗證可用於實際的半導體製造之該烘烤模組。
  17. 如申請專利範圍第16項所述之烘烤模組的驗證測試系統,其中該些熱感測器設置在該測試晶圓的不同區上。
  18. 如申請專利範圍第17項所述之烘烤模組的驗證測試系統,其中該烘烤模組包含一具有複數個加熱通道的板,且其中該些加熱通道中的每一個與該些熱感測器中的一或多個有關。
  19. 如申請專利範圍第16項所述之烘烤模組的驗證測試系統, 其中該烘烤模組為一曝光後烘烤(PEB)設備的一部分。
  20. 如申請專利範圍第16項所述之烘烤模組的驗證測試系統,其中該選擇性地驗證包括:若該決定的累積熱量在一預定的累積熱量限制以內,該烘烤模組是合格可用於實際的半導體製造;以及若該決定的累積熱量超出一預定的累積熱量限制,該烘烤模組是不合格於實際的半導體製造。
TW106135660A 2017-09-19 2017-10-18 烘烤模組的驗證測試方法及其系統 TWI651791B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/708,791 2017-09-19
US15/708,791 US11222783B2 (en) 2017-09-19 2017-09-19 Using cumulative heat amount data to qualify hot plate used for postexposure baking

Publications (2)

Publication Number Publication Date
TWI651791B TWI651791B (zh) 2019-02-21
TW201916203A true TW201916203A (zh) 2019-04-16

Family

ID=65526948

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106135660A TWI651791B (zh) 2017-09-19 2017-10-18 烘烤模組的驗證測試方法及其系統

Country Status (5)

Country Link
US (2) US11222783B2 (zh)
KR (1) KR102045359B1 (zh)
CN (1) CN109524318B (zh)
DE (1) DE102017124794B4 (zh)
TW (1) TWI651791B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109585483B (zh) * 2018-12-04 2021-05-04 上海华力微电子有限公司 一种处理半导体晶圆的方法

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4719586A (en) * 1985-11-01 1988-01-12 Moyer Process And Control Company, Inc. Manufacturing process control
US6079550A (en) * 1998-01-08 2000-06-27 Allen Machinery, Inc. Vibratory conveyor
US6654668B1 (en) * 1999-02-16 2003-11-25 Tokyo Electron Limited Processing apparatus, processing system, distinguishing method, and detecting method
DE19907497C2 (de) * 1999-02-22 2003-05-28 Steag Hamatech Ag Vorrichtung und Verfahren zur Wärmebehandlung von Substraten
JP3356115B2 (ja) * 1999-05-20 2002-12-09 ウシオ電機株式会社 レジスト硬化装置
JP2001237053A (ja) * 1999-12-14 2001-08-31 Ibiden Co Ltd 半導体製造・検査装置用セラミックヒータおよび支持ピン
JP3840387B2 (ja) * 2001-09-05 2006-11-01 東京エレクトロン株式会社 温度計測方法、温度計測装置及び基板処理装置
JP2004207314A (ja) * 2002-12-24 2004-07-22 Tokyo Electron Ltd 膜改質の終点検出方法、その終点検出装置及び電子ビーム処理装置
TWI266378B (en) 2003-03-06 2006-11-11 Toshiba Corp Baking apparatus, heat treatment method, manufacturing method of semiconductor device and pattern forming method
US6927835B2 (en) 2003-09-12 2005-08-09 Asml Netherlands B.V. Adaptive thermal control of lithographic chemical processes
JP4343151B2 (ja) * 2004-08-11 2009-10-14 東京エレクトロン株式会社 加熱プレートの温度測定方法、基板処理装置及び加熱プレートの温度測定用のコンピュータプログラム
CN100433255C (zh) * 2004-08-11 2008-11-12 东京毅力科创株式会社 加热板的温度测定方法和基板处理装置
JP2006165516A (ja) * 2004-11-12 2006-06-22 Tokyo Electron Ltd 熱処理板の付着物検出方法,熱処理装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体
JP2007066923A (ja) * 2005-08-29 2007-03-15 Matsushita Electric Ind Co Ltd ウェーハレベルバーンイン方法およびウェーハレベルバーンイン装置
JP4781931B2 (ja) 2006-07-31 2011-09-28 大日本スクリーン製造株式会社 熱処理方法および熱処理装置
US20080076077A1 (en) * 2006-09-21 2008-03-27 Toshiba America Electronic Components, Inc. Apparatus and method for heating semiconductor wafers with improved temperature uniformity
US8293035B2 (en) * 2006-10-12 2012-10-23 Air Products And Chemicals, Inc. Treatment method, system and product
JP4859229B2 (ja) * 2006-12-08 2012-01-25 東京エレクトロン株式会社 熱処理装置
US8760621B2 (en) * 2007-03-12 2014-06-24 Asml Netherlands B.V. Lithographic apparatus and method
JP5267765B2 (ja) * 2007-11-08 2013-08-21 ウシオ電機株式会社 フィラメントランプおよび光照射式加熱処理装置
EP3573092B1 (en) 2008-05-02 2021-12-22 Applied Materials, Inc. System for non radial temperature control for rotating substrates
CN101764053B (zh) * 2008-12-25 2011-08-17 中芯国际集成电路制造(上海)有限公司 光刻方法
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9201022B2 (en) 2011-06-02 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extraction of systematic defects
US8736084B2 (en) 2011-12-08 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for E-beam in-chip overlay mark
US9097978B2 (en) 2012-02-03 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus to characterize photolithography lens quality
US8837810B2 (en) 2012-03-27 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for alignment in semiconductor device fabrication
US9091930B2 (en) 2012-04-02 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced EUV lithography system
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8954899B2 (en) 2012-10-04 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contour alignment system
US9158209B2 (en) 2012-10-19 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of overlay prediction
US20140119638A1 (en) 2012-11-01 2014-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. System, method and computer program product to evaluate a semiconductor wafer fabrication process
US20140123084A1 (en) 2012-11-01 2014-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Improving a Lithography Simulation Model
US9658118B2 (en) 2012-11-16 2017-05-23 Linear Technology Corporation Precision temperature measurement devices, sensors, and methods
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US20140226893A1 (en) 2013-02-11 2014-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method and System for Image-Based Defect Alignment
CN203103979U (zh) * 2013-02-22 2013-07-31 王海 智能开关电容器
US10274839B2 (en) 2013-03-11 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Two-dimensional marks
US9690212B2 (en) 2013-03-11 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid focus-exposure matrix
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8716841B1 (en) 2013-03-14 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography mask and process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
CN104236620B (zh) 2013-06-14 2018-06-15 富泰华工业(深圳)有限公司 具有温湿度传感器的电子装置及湿度显示方法
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
WO2016056748A1 (ko) 2014-10-10 2016-04-14 주식회사 제우스 기판 처리용 히터장치 및 이를 구비한 기판 액처리 장치
JP6537329B2 (ja) * 2015-04-07 2019-07-03 東京エレクトロン株式会社 温度制御装置、温度制御方法およびプログラム
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US20170221783A1 (en) 2016-01-28 2017-08-03 Leonard TEDESCHI Self-aware production wafers
JP6481636B2 (ja) 2016-02-16 2019-03-13 東京エレクトロン株式会社 熱板の温度測定装置及び熱板の温度測定方法
CN105892243A (zh) * 2016-04-07 2016-08-24 上海华力微电子有限公司 一种热板抽气装置及其温控方法
CN106505018B (zh) * 2016-11-01 2019-04-26 杭州长川科技股份有限公司 吸盘表面温度均匀性检测装置及检测方法

Also Published As

Publication number Publication date
US11222783B2 (en) 2022-01-11
KR20190032151A (ko) 2019-03-27
CN109524318B (zh) 2021-09-28
CN109524318A (zh) 2019-03-26
DE102017124794A1 (de) 2019-03-21
KR102045359B1 (ko) 2019-11-15
US20190088471A1 (en) 2019-03-21
DE102017124794B4 (de) 2020-08-06
TWI651791B (zh) 2019-02-21
US20220139698A1 (en) 2022-05-05

Similar Documents

Publication Publication Date Title
KR101404349B1 (ko) 레지스트 코팅 웨이퍼들의 열처리 동안의 인라인 모니터링및 제어를 위한 방법
US7957828B2 (en) Temperature setting method for thermal processing plate, temperature setting apparatus for thermal processing plate, and computer-readable storage medium
KR101404382B1 (ko) 레지스트 코팅 웨이퍼의 열처리 방법
JP4127664B2 (ja) 現像処理装置の調整方法
JP2000082661A (ja) 加熱装置,加熱装置の評価法及びパタ―ン形成方法
KR20140068970A (ko) 포커스 보정을 결정하는 방법, 리소그래피 처리 셀 및 디바이스 제조 방법
JP2006344947A (ja) 特徴付け方法、プロセス操作を特徴付ける方法、及びデバイス製造方法
US20020112370A1 (en) Method for two dimensional adaptive process control of critical dimensions during spin coating process
US20080096142A1 (en) Baking apparatus, substrate heat treatment method and semiconductor device manufacturing method for using baking apparatus, pattern forming method and semiconductor device manufacturing method for using pattern forming method
US7375831B2 (en) Line width measuring method, substrate processing method, substrate processing apparatus and substrate cooling processing unit
US7751025B2 (en) Scatterometric method of monitoring hot plate temperature and facilitating critical dimension control
TWI651791B (zh) 烘烤模組的驗證測試方法及其系統
JPH10199782A (ja) 投影露光装置
JP4249139B2 (ja) 半導体装置の製造方法、及び、半導体装置製造システム
JP2009259870A (ja) 露光装置、測定方法、安定化方法及びデバイスの製造方法
JP2004273586A (ja) パターン形成方法および該パターン形成方法を用いた半導体装置の製造方法
JP2007141949A (ja) 露光システム、露光量予測方法、及び半導体装置の製造方法
Adam et al. Statistical parameter evaluation for swing curves for the 1.2 μm and 1.8 μm resist thickness in CMOS photolithography process technology
Mack et al. Matching simulation and experiment for chemically amplified resists
US6643604B1 (en) System for uniformly heating photoresist
JP2007318181A (ja) 現像処理装置の調整方法及び半導体装置の製造方法
Nordquist et al. Inter-and intramembrane resist critical dimension uniformity across a SCALPEL mask
KR100672703B1 (ko) 반도체 소자의 제조방법
KR20230127786A (ko) 반도체 소자 제조 방법
TW200418114A (en) Control method of critical dimension and monitoring method of the hot plate temperature and the thermal uniformity thereof