KR102045359B1 - 노광 후 베이킹을 위해 사용되는 고온 플레이트를 인증하기 위한 누적 열량 데이터 사용 - Google Patents

노광 후 베이킹을 위해 사용되는 고온 플레이트를 인증하기 위한 누적 열량 데이터 사용 Download PDF

Info

Publication number
KR102045359B1
KR102045359B1 KR1020170166120A KR20170166120A KR102045359B1 KR 102045359 B1 KR102045359 B1 KR 102045359B1 KR 1020170166120 A KR1020170166120 A KR 1020170166120A KR 20170166120 A KR20170166120 A KR 20170166120A KR 102045359 B1 KR102045359 B1 KR 102045359B1
Authority
KR
South Korea
Prior art keywords
baking module
baking
cumulative
wafer
module
Prior art date
Application number
KR1020170166120A
Other languages
English (en)
Other versions
KR20190032151A (ko
Inventor
치아-쳉 차오
충-쳉 왕
춘-쾅 첸
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20190032151A publication Critical patent/KR20190032151A/ko
Application granted granted Critical
Publication of KR102045359B1 publication Critical patent/KR102045359B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • H05B3/22Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible
    • H05B3/24Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor being self-supporting
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/68Heating arrangements specially adapted for cooking plates or analogous hot-plates
    • H05B3/686Heat-storage plates

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Toxicology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

테스트 웨이퍼가 베이킹 모듈 내부에 배치되고 베이킹된다. 하나 이상의 온도 센서를 거쳐, 베이킹 중에 테스트 웨이퍼에 전달된 누적 열량이 측정된다. 측정된 누적 열량은 미리 규정된 누적 열량 임계치와 비교된다. 측정된 누적 열량이 미리 규정된 누적 열량 임계치 내에 있다는 것을 지시하는 비교에 응답하여, 베이킹 모듈이 실제 반도체 제조를 위해 인증되었다고 결정된다. 측정된 누적 열량이 미리 규정된 누적 열량 임계치 밖에 있다는 것을 지시하는 비교에 응답하여, 베이킹 모듈이 실제 반도체 제조를 위해 인증되지 않았다고 결정된다.

Description

노광 후 베이킹을 위해 사용되는 고온 플레이트를 인증하기 위한 누적 열량 데이터 사용{USING CUMULATIVE HEAT AMOUNT DATA TO QUALIFY HOT PLATE USED FOR POST-EXPOSURE BAKING}
반도체 집적 회로(integrated circuit: IC) 산업은 급격한 성장을 경험해 왔다. IC 물질 및 디자인에서의 기술적 진보는 각각의 세대가 이전의 세대보다 더 소형이고 더 복잡한 회로를 갖는 IC의 세대를 생성해 왔다. IC 진화 중에, 기능 밀도(즉, 칩 면적당 상호접속된 디바이스의 수)는 일반적으로 증가되어 왔고, 반면에 기하학적 크기[즉, 제작 프로세스를 사용하여 생성될 수 있는 최소 컴포넌트(또는 라인)]는 감소되어 왔다. 이 소규모화(scaling down) 프로세스는 일반적으로 제조 효율을 증가시키고 연관된 비용을 절감함으로써 이익을 제공한다. 이러한 소규모화는 또한 IC 처리 및 제조의 복잡성을 증가시키고 있다.
소규모화 프로세스가 계속됨에 따라, 열 균일성 제어가 반도체 제조에 있어서 더 중요해지고 있다. 고온 플레이트와 같은 도구가 만족스러운 열 균일성 성능을 생성할 수 있는 것을 보장하기 위해, 인증 시험이(qualification testing) 고온 플레이트에 행해질 수도 있다. 불행하게도, 기존의 인증 시험의 방법은 최적의 정확성으로 고온 플레이트의 열 균일성 성능을 예측하는 것이 가능하지 않았다.
따라서, 고온 플레이트를 위한 종래의 인증 시험이 일반적으로 그 의도된 용도를 위해 적절하였지만, 모든 관점에서 완전히 만족스럽지는 않았다.
본 발명의 양태는 첨부 도면과 함께 숙독될 때 이하의 상세한 설명으로부터 가장 양호하게 이해된다. 산업 분야에서의 표준 실시에 따르면, 다양한 특징부는 실제 축적대로 도시되어 있지는 않다는 것이 주목된다. 실제로, 다양한 특징부의 치수는 설명의 명료화를 위해 임의로 증가되거나 감소될 수도 있다.
도 1 내지 도 4는 본 개시내용의 몇몇 실시예에 따른 다양한 제조 스테이지에서 반도체 디바이스의 개략 파단 단면 측면도.
도 5는 본 개시내용의 몇몇 실시예에 따른 반도체 디바이스의 제조를 위한 시스템의 개략도.
도 6a는 본 개시내용의 몇몇 실시예에 따른 베이킹 모듈의 개략 평면도.
도 6b는 본 개시내용의 몇몇 실시예에 따른 도 6a의 베이킹 모듈의 개략 단면 측면도.
도 7은 본 개시내용의 몇몇 실시예에 따른 베이킹 모듈 내부의 공기의 이동을 도시하고 있는 도 6a 및 도 6b의 베이킹 모듈의 간단화된 개략 단면 측면도.
도 8은 본 개시내용의 몇몇 실시예에 따른 도 6a 및 도 6b의 베이킹 모듈 및 테스트 웨이퍼 상의 복수의 센서의 중첩된 개략 평면도.
도 9는 본 개시내용의 몇몇 실시예에 따른 온도 대 시간의 그래프.
도 10a 및 도 10b는 본 개시내용의 몇몇 실시예에 따른 누적된 열량 데이터의 표.
도 11a 및 도 11b는 본 개시내용의 몇몇 실시예에 따른 누적된 열량 데이터의 표.
도 12는 본 개시내용의 몇몇 실시예에 따른 베이킹 모듈의 인증 시험을 수행하는 방법의 흐름도.
도 13은 본 개시내용의 몇몇 실시예에 따른 베이킹 모듈의 인증 시험을 수행하는 방법의 흐름도.
이하의 개시내용은 본 발명의 상이한 특징부를 구현하기 위한 다수의 상이한 실시예, 또는 예를 제공한다. 컴포넌트 및 장치의 특정 예가 본 개시내용을 간단화하기 위해 이하에 설명된다. 이들은 물론 단지 예일 뿐이고, 한정이 되도록 의도된 것은 아니다. 예를 들어, 이어지는 설명에서 제2 특징부 위에 또는 상에 제1 특징부의 형성은 제1 및 제2 특징부가 직접 접촉하여 형성되어 있는 실시예를 포함할 수도 있고, 부가의 특징부가 제1 및 제2 특징부 사이에 형성될 수도 있어, 제1 및 제2 특징부가 직접 접촉하지 않을 수도 있게 되는 실시예를 또한 포함할 수도 있다. 게다가, 본 개시내용은 다양한 예에서 도면 숫자 및/또는 문자를 반복할 수도 있다. 이 반복은 간단화 및 명료화를 위한 것이고, 자체로 다양한 실시예 및/또는 설명된 구성 사이의 관계를 지시하는 것은 아니다.
또한, "밑", "아래", "하부", "위", "상부" 등과 같은 공간적 상대 용어는 도면에 도시되어 있는 바와 같이 다른 요소(들) 또는 특징부(들)에 대한 일 요소 또는 특징부의 관계를 설명하기 위해 용이한 설명을 위해 본 명세서에서 사용될 수도 있다. 공간적 상대 용어는 도면에 도시되어 있는 배향에 추가하여 사용 또는 동작시에 디바이스의 상이한 배향을 포함하도록 의도된다. 장치는 다른 방식으로 배향될 수도 있고(90도 회전되거나 다른 배향에 있음), 본 명세서에 사용된 공간적 상대 기술자는 마찬가지로 이에 따라 해석될 수도 있다.
반도체 소규모화 프로세스가 계속됨에 따라, 더 작은 최소 배선폭(feature size)이라는 것은 균일성 제어가 반도체 제조에 있어서 더 중요하게 된다는 것을 의미한다. 예를 들어, 반도체 리소그래피 프로세스는 통상적으로 노광 후 베이킹(post-exposure baking: PEB) 단계를 포함하는 데, 여기서 노광된 포토레지스트 패턴이 베이킹된다. 베이킹은 고온 플레이트를 포함하는 베이킹 모듈에 의해 행해질 수도 있는 데, 여기서 고온 플레이트는 열 복사를 통해 웨이퍼를 가열한다. 그러나, 열 분포가 고온 플레이트 전체에 걸쳐 균일하지 않으면, 웨이퍼의 상이한 구역이 상이하게 가열될 수도 있다. PEB 프로세스의 균일성의 결여는 패턴 크기의 바람직하지 않은 편차를 유도할 수도 있는 데, 이는 반도체 디바이스 성능을 열화할 수 있다. 이와 같이, 고온 플레이트는 반도체 제조를 위해 사용되기 전에 캘리브레이팅되고 인증될 필요가 있을 수도 있다.
불행하게도, 이들 고온 플레이트를 인증하는 기존의 방법은 고온 플레이트의 성능을 정확하게 예측하는 것이 가능하지 않았다. 예를 들어, 고온 플레이트를 위한 기존의 인증 시험의 방법은 고온 플레이트의 열 균일성 성능을 평가하기 위해 미리 규정된 시점에 단일의 온도 측정치를 취하는 것에 종종 의존한다. 그러나, 이는 열 균일성을 결정하는 최적의 방법이 아니다. 따라서, 이들 고온 플레이트를 사용하여 수행된 반도체 제조는 임계 치수(critical dimension: CD)의 과잉의 변동을 갖는 디바이스 크기를 야기할 수도 있는 데, 이는 IC 디바이스 성능을 열화하고 심지어 디바이스 고장을 유도할 수도 있다.
본 개시내용의 다양한 양태에 따르면, 열 균일성 성능은 단일의 측정보다는, 소정 기간에 걸친 누적 열량을 결정함으로써 평가된다. 누적 열량은 이어서 더 상세히 후술되는 바와 같이, 고온 플레이트가 실제 반도체 제조를 위한 준비가 된 것으로서 인증되어야 하는지 여부를 판정하기 위해 다양한 미리 규정된 임계치에 대해 비교된다.
도 1 내지 도 4는 본 개시내용의 실시예에 따른 다양한 제조 스테이지에서 반도체 디바이스(100)의 간단화된 개략 파단 단면 측면도를 도시하고 있다. 도 1을 참조하면, 반도체 디바이스(100)는 기판(140)을 포함한다. 몇몇 실시예에서, 기판(140)은 붕소와 같은 p-형 도펀트로 도핑된 실리콘 기판(예를 들어, p-형 기판)이다. 대안적으로, 기판(140)은 임의의 적합한 반도체 물질일 수 있다. 예를 들어, 기판(140)은 인 또는 비소와 같은 n-형 도펀트로 도핑된 실리콘 기판(예를 들어, n-형 기판)일 수도 있다. 기판(140)은 게르마늄 및 다이아몬드와 같은 다른 원소 반도체를 포함할 수 있다. 기판(140)은 선택적으로 화합물 반도체 및/또는 합금 반도체를 포함할 수 있다. 또한, 기판(140)은 에피택셜층(에피층)을 포함할 수 있고, 성능 향상을 위해 긴장될(strained) 수도 있고, 실리콘 온 절연체(silicon-on-insulator: SOI) 구조체를 포함할 수도 있다.
몇몇 실시예에서, 기판(140)은 실질적으로 도전성 또는 반도전성이다. 전기 저항은 약 103 오옴-미터 미만일 수도 있다. 몇몇 실시예에서, 기판(140)은 금속, 금속 합금, 또는 조성식 MXa를 갖는 금속 니트라이드/설파이드/셀레나이드/산화물/실리사이드를 포함하는 데, 여기서 M은 금속이고, X는 N, S, Se, O, Si이고, 여기서 "a"는 약 0.4 내지 2.5의 범위이다. 예를 들어, 기판(140)은 Ti, Al, Co, Ru, TiN, WN2, 또는 TaN을 포함할 수도 있다.
몇몇 다른 실시예에서, 기판(140)은 약 1 내지 약 140의 범위의 유전 상수를 갖는 유전체 물질을 포함한다. 몇몇 다른 실시예에서, 기판(140)은 Si, 금속 산화물, 또는 금속 니트라이드를 포함하고, 여기서 조성식은 MXb이고, 여기서 M은 금속 또는 Si이고, X는 N 또는 O이고, 여기서 "b"는 약 0.4 내지 2.5의 범위이다. 예를 들어, 기판(140)은 SiO2, 실리콘 니트라이드, 알루미늄 산화물, 하프늄 산화물, 또는 란탄 산화물을 포함할 수도 있다.
물질층(150)이 기판(140) 위에 형성된다. 물질층(150)은 리소그래피 프로세스를 거쳐 패터닝될 수 있고, 이와 같이 또한 패터닝가능 층이라 칭할 수도 있다. 실시예에서, 물질층(150)은 실리콘 산화물 또는 실리콘 니트라이드와 같은 유전체 물질을 포함한다. 다른 실시예에서, 물질층(150)은 금속을 포함한다. 또 다른 실시예에서, 물질층(150)은 반도체 물질을 포함한다.
몇몇 실시예에서, 물질층(150)은 포토레지스트와는 상이한 광학 특성을 갖는다. 예를 들어, 물질층(150)은 포토레지스트와는 상이한 n, k, 또는 T 값을 갖는다. 몇몇 실시예에서, 물질층(150)은 포토레지스트에 대해 상이한 n 값을 유도하는 상이한 폴리머 구조, 산에 불안정한 분자, PAG(photo acid generator: 광산 발생제) 로딩, 켄처 로딩(quencher loading), 발색단(chromophore), 가교결합제, 또는 용제 중 적어도 하나를 포함한다. 몇몇 실시예에서, 물질층(150) 및 포토레지스트는 상이한 에칭 저항을 갖는다. 몇몇 실시예에서, 물질층(150)은 에칭 저항성 분자를 포함한다. 분자는 낮은 오니시 넘버(onishi number) 구조, 이중 결합, 삼중 결합, 실리콘, 실리콘 니트라이드, Ti, TiN, Al, 알루미늄 산화물, SiON, 또는 이들의 조합을 포함한다. 기판(140) 및 물질층(150)은 다른 실시예에서 부가의 적합한 물질 조성을 각각 포함할 수도 있다는 것이 이해된다.
포토레지스트층(160)이 기판(140) 위에[구체적으로는, 물질층(150) 위에] 형성된다. 포토레지스트층(160)은 스핀 코팅 프로세스(170)에 의해 형성될 수도 있다. 도 1에 도시되어 있는 실시예에서, 포토레지스트층(160)은 포지티브 포토레지스트를 포함하지만, 포토레지스트층(160)은 대안적인 실시예에서 네거티브 포토레지스트를 포함할 수도 있다는 것이 이해된다. 포토레지스트층(160)은 폴리머, 광산 발생제(PAG), 켄처, 발색단, 계면활성제, 가교결합제 등과 같은 화학 성분을 포함한다. 실시예에서, 광산 발생제는 폴리머에 결합된다. 후속의 포토리소그래피 프로세스에서, 광자는 PAG의 분해를 유도한다. 그 결과, 소량의 산이 형성되는 데, 이는 포토레지스트층(160) 내에 화학 변환의 캐스케이드(cascade)를 또한 유도한다. 포토레지스트 물질 내의 켄처는 임계 치수(critical dimension: CD) 제어를 향상시키는 것을 돕는다.
전술된 화학 성분(폴리머, PAG, 켄처, 발색단, 계면활성제, 가교결합제와 같은)은 포토레지스트층(160)의 작은 부분(예를 들어, 약 1% 내지 10%, 예를 들어 약 5%)일 수도 있다는 것이 이해된다. 포토레지스트층(160)의 대부분은 용제이다. 몇몇 실시예에서, 용제는 프로필렌 글리콜 모노에틸 에테르(Propylene Glycol Monomethyl Ether: PGME), 또는 프로필렌 글리콜 모노메틸 에테르 아세테이트(Propylene Glycol Monomethyl Ether Acetate: PGMEA), 또는 이들의 조합을 포함할 수도 있다. 용제는 포토레지스트층(160)이 층(150) 위에 스핀 코팅되거나 다른 방식으로 도포되기 전에, 병 또는 다른 적합한 용기 내에서 포토레지스트층(160)의 다른 화학 성분(예를 들어, 폴리머)과 혼합될 수도 있다.
이제 도 2를 참조하면, 노광 프로세스(220)가 반도체 디바이스(100)에 수행된다. 예시된 실시예에서, 노광(220)은 극자외선(extreme ultraviolet: EUV) 방사선으로의 노광을 포함한다. 예를 들어, 노광의 부분으로서, 몇몇 실시예에서 약 250 nm 미만, 예를 들어 약 13.5 nm의 파장을 갖는 광원(또는 조명원)이 사용된다. 몇몇 실시예에서, 조명원은 KrF, ArF, EUV, 또는 E-빔 중 적어도 하나를 갖는다. 광원은 포토레지스트층(160)의 하나 이상의 미리 결정된 구역(160A)을 노광하고, 반면에 포토레지스트층(160)의 다른 구역(160B)은 EUV 마스크와 같은 패터닝된 리소그래피 포토마스크의 사용을 통해 노광이 방지된다.
이제 도 3을 참조하면, 베이킹 프로세스(250)가 반도체 디바이스(100)에 수행된다. 이 베이킹 프로세스(250)는 포토레지스트층(160)을 노광하는 노광 프로세스(220) 후에 수행되기 때문에, 베이킹 프로세스(250)는 또한 노광 후 베이킹(PEB) 프로세스라 칭할 수도 있다. 베이킹 프로세스(250)는 또한 고온 플레이트를 사용하여 챔버 내에서 수행될 수도 있는 데, 그 상세는 이하에 더 상세히 설명될 것이다.
이제 도 4를 참조하면, 베이킹 프로세스(250)가 수행된 후에 현상 프로세스(300)가 포토레지스트층(160)에 수행된다. 현상 프로세스(300)는 현상제 용액을 포토레지스트층(160)에 도포하는 것을 포함하는 데, 여기서 현상제 용액은 2-헵타논, n-부틸 아세테이트(NBA), 이소아밀 아세테이트, 또는 이들의 조합과 같은 용제 현상제를 포함한다. 현상 프로세스(300)는 포토레지스트층(160)을 패터닝한다. 포지티브-톤(positive-tone) 포토레지스트가 사용되는 것으로 가정하면, EUV 광으로의 노광이 포토레지스트의 화학적 구조를 변화시키고 이를 현상제 용액 내에서 더 가용성이 되게 하기 때문에, 포토레지스트층(160)의 노광부(160A)는 현상 프로세스(300) 후에 제거된다. 한편, 포토레지스트층(160)의 비노광부(160B)는 잔류하고, 이에 의해 제거된 부분(160A)을 대신하여 개구(310)를 형성한다. 다른 한편으로, 포토레지스트층(160)이 네거티브-톤 포토레지스트이면, 노광부(160A)는 현상 프로세스(300) 후에 잔류될 것이고, 반면에 비노광부(160B)는 제거될 것이다. 어느 경우든, 패턴 포토레지스트층(160)은 이제 후속의 제조 프로세스에서 그 아래의 물질층(150)을 패터닝하는 데 사용될 수 있다.
도 5는 도 1 내지 도 4를 참조하여 전술된 다양한 제조 프로세스를 수행하는 데 사용될 수도 있는 반도체 제조 시스템(400)의 부분의 간단화된 개략도이다. 도 5에 도시되어 있는 화살표는 반도체 제조 프로세스가 시스템(400)의 다양한 도구를 사용하여 수행되는 순차적인 순서를 지시하고 있다.
제조 시스템(400)은 포토레지스트 코팅 도구(410)를 포함한다. 포토레지스트 코팅 도구(410)는 도 1을 참조하여 전술된 스핀 코팅 프로세스(170)의 부분으로서 층(150) 위에 포토레지스트층(160)을 코팅하는 데 사용될 수도 있다. 몇몇 실시예에서, 포토레지스트 코팅 도구(410)는 포토레지스트 화학물(예를 들어, 폴리머, PAG 등)을 용제와 혼합하기 위한 병 또는 용기를 포함한다. 포토레지스트 코팅 도구(410)는 포토레지스트 화학물 및 용제로 구성된 혼합물과 같은 액체를 스프레이하기 위한 노즐을 또한 포함할 수도 있다. 포토레지스트 코팅 도구(410)는 포토레지스트층(160)이 그 위에 코팅되어 있는 동안 웨이퍼를 보유하고 그리고/또는 스핀하기 위한 스테이지를 또한 포함할 수도 있다.
제조 시스템(400)은 노광 도구(430)를 포함한다. 노광 도구(430)는 도 2를 참조하여 전술된 노광 프로세스(220)의 부분으로서 포토레지스트층(160)을 노광하는 데 사용될 수도 있다. 몇몇 실시예에서, 노광 도구(430)는 EUV 스캐너를 포함한다. 다른 유형의 스캐너가 또한 대안적인 실시예에서 사용될 수도 있다.
제조 시스템(400)은 노광 후 베이킹(PEB) 도구(450)를 포함한다. PEB 도구(420)는 도 3을 참조하여 전술된 베이킹 프로세스(250)의 부분으로서 포토레지스트층(160)을 베이킹하는 데 사용될 수도 있다. 몇몇 실시예에서, 베이킹 도구(450)는 트랙 반도체 제조 시스템의 부분으로서 "고온 플레이트" - 가열된 플레이트임 - 를 포함한다. 전술된 바와 같이, PEB 프로세스 중의 열 균일성은 임계 치수 균일성과 같은, 디바이스 성능에 상당한 영향을 미친다. 따라서, 본 개시내용은 특정 PEB 도구(예를 들어, 고온 플레이트)가 예를 들어, PEB 프로세스에 사용되는 것과 같이, 실제 반도체 제조에 사용 가능한 것으로서 인증되어야 하는지 여부를 결정하기 위해 누적 열에너지량을 이용한다. 이는 이하에 더 상세히 설명될 것이다.
제조 시스템(400)은 현상 도구(470)를 포함한다. 현상 도구(470)는 도 4를 참조하여 전술된 현상 프로세스(300)의 부분으로서 포토레지스트층(160)을 현상하는 데 사용될 수도 있다. 몇몇 실시예에서, 현상 도구(470)는 현상제 용액을 분배하도록 구성된 노즐 또는 다른 분배 유닛을 포함한다.
제조 시스템(400)은 제어기(480)를 또한 포함한다. 제어기(480)는 도구(410 내지 470) 중 하나 이상을 동작하기 위한 프로그램 명령을 포함한다. 제어기(480)는 몇몇 실시예에서 독립형 제어기일 수도 있고, 또는 다른 실시예에서 도구(410 내지 470) 중 하나 이상 내에 통합될 수도 있다. 제조 시스템(400)은 전술된 도구(410 내지 470)에 추가하여 하나 이상의 도구를 포함할 수도 있다는 것이 이해된다. 이들 부가의 도구는 도구(410 내지 470)에 의해 수행된 단계 전, 중, 또는 후에 반도체 제조의 다른 단계를 수행하는 데 사용될 수도 있다. 그러나, 간단화를 이유로, 이들 부가의 도구는 본 명세서에 상세히 설명되지 않는다.
도 6a는 베이킹 모듈(500)의 개략 평면도이고, 도 6b는 본 개시내용의 실시예에 따른 베이킹 모듈(500)의 개략 단면 측면도이다. 베이킹 모듈(500)은 전술된 PEB 베이킹 도구(450)의 부분이고, PEB 프로세스, 뿐만 아니라 열적 가열을 수반하는 다른 프로세스를 수행하는 데 사용될 수도 있다. 베이킹 모듈(500)은 밀봉 가능한 챔버를 포함할 수도 있는 봉입체(510)를 포함한다. 웨이퍼(520)(예를 들어, 생산 웨이퍼 또는 테스트 웨이퍼)가 베이킹 모듈(500) 내부에 배치되고 열적으로 가열될 수도 있다. 도 6b에 도시되어 있는 실시예에서, 웨이퍼(520)는 베이킹 프로세스에서 베이킹 모듈(500)과 연관된 온도 데이터를 측정하는 데 사용되는 테스트 웨이퍼를 포함한다. 온도 데이터는 더 상세히 후술되는 바와 같이, 이어서 베이킹 모듈이 실제 반도체 제조의 준비가 되어 있는 상태로 인증되어야 하는지 여부를 결정하는 데 사용될 것이다.
도 6b를 계속 참조하면, 베이킹 모듈(500)은 웨이퍼(520) 아래에 배치된 고온 플레이트(530)를 거쳐 열 또는 열에너지를 발생한다. 몇몇 실시예에서, 고온 플레이트(530)는 세라믹 물질을 포함하고, 수 인치(또는 수십 인치) 두께일 수도 있다. 열에너지는 전기 저항 가열을 사용하여 발생될 수도 있고, 여기서 전기 에너지는 고온 플레이트(530) 내에 또는 그 부근에 매립된 하나 이상의 저항기를 통해 전류를 흐르게 함으로써 열에너지로 변환된다. 저항기의 저항 및/또는 전류량은 베이킹 모듈(500)에 의해 생성된 열량, 및 따라서 베이킹 모듈(500) 내부의 온도를 변화하도록 조정될 수도 있다. 베이킹 모듈(500)을 위한 온도 조정은 전술된 도 5의 제어기(480)와 같은, 내부 또는 외부 제어기에 의해 제어될 수도 있다.
복수의 간극 핀(540)이 고온 플레이트(530) 상에 구현된다. 이들 간극 핀(540)은 고온 플레이트(530)로부터 웨이퍼(520)를 분리하여, 웨이퍼(520)가 고온 플레이트(530)의 상부면과 직접 물리적 접촉하지 않게 된다. 이 방식으로, 고온 플레이트(530)에 의해 발생된 열에너지는 웨이퍼(520)의 특정 스폿에 집중되기보다는, 웨이퍼(520)의 표면 전체에 걸쳐 더 균일하게 방사될 수도 있다. 간극 핀(540)의 높이는 웨이퍼(520)와 고온 플레이트(530)의 이격 거리를 결정한다(또는 그 거리에 실질적으로 동일함). 몇몇 실시예에서, 간극 핀(540)의 높이[또는 웨이퍼(520)와 고온 플레이트(530)의 이격 거리]는 약 50 미크론 내지 약 200 미크론의 범위, 예를 들어 약 100 미크론이다. 간극 핀(540)의 위치는 본 개시내용의 실시예에 따른 도 6a의 평면도에 더 명백하게 도시되어 있다.
웨이퍼(520)를 고온 플레이트(530) 상에 고정하기 위해, 진공 홀(550)이 고온 플레이트(530) 내에 구현된다. 진공 홀(550)은 고온 플레이트(530)를 통해 수직으로 각각 연장한다. 진공 홀(550)은 또한 베이킹 모듈(500)을 위한 외부 출구를 제공할 수도 있다. 이 방식으로, 웨이퍼(520) 아래의 구역은 진공 펌프와 같은 베이킹 모듈(500)의 외부에 있는 디바이스와 유체 연통할 수도 있다. 진공 펌프는 웨이퍼(520) 아래의 구역 외부로 공기를 흡인하여, 이에 의해 웨이퍼(520) 아래에 부분 진공을 생성할 수도 있다. 부분 진공은 웨이퍼(520)가 고온 플레이트(530)에 고정될 수 있는 것을 보장하고 웨이퍼(520)의 부주의한 또는 비의도적인 이동을 방지한다. 진공 홀(550)의 위치는 본 개시내용의 실시예에 따른 도 6a의 평면도에 또한 더 명백하게 도시되어 있다.
베이킹 모듈(500)은 웨이퍼 가이드(560)를 더 포함하는 데, 그 중 6개가 도 6a에 도시되어 있는 실시예에 예시되어 있다. 웨이퍼 가이드(560)는 고온 플레이트(530)의 에지 주위에 배치되고, 웨이퍼(520)가 베이킹 모듈(500) 외부로 슬립하는 것을 방지한다. 달리 말하면, 웨이퍼 가이드(560)는, 웨이퍼(520)의 측벽이 웨이퍼 가이드(560)와 접촉하게 될 것이기 때문에, 웨이퍼 가이드(560)를 지나는 웨이퍼(520)의 가능한 이동을 제한한다. 웨이퍼 가이드(560)는 또한 웨이퍼 베이킹 영역을 규정하거나 제한하는 것을 돕는다.
도 7은 베이킹 모듈 내부의 공기의 이동을 도시하고 있는 베이킹 모듈(500)의 간단화된 개략 단면 측면도를 도시하고 있다. 공기의 이동은 베이킹 모듈(500)로부터 바람직하지 않은 물질을 제거하기 위해 어떻게 베이킹 모듈(500)의 배기 컴포넌트가 기능하는지를 예시하고 있다. 예를 들어, 공기 이동 방향은 도 7에 복수의 화살표에 의해 도시되어 있다. 도 7에 도시되어 있는 바와 같이, 공기는 베이킹 모듈(500)의 "상부"에서 하나 이상의 입구(580)를 통해 베이킹 모듈(500) 내로 압입된다. 공기는 이어서 하향으로 그리고 측방향으로 진행하고, 이어서 예시된 실시예에서 베이킹 모듈(500)의 "상부"에 또한 위치되어 있는 하나 이상의 출구(590)를 통해 베이킹 모듈(500) 외부로 그리고 위로 재차 흡인된다(오염물 입자와 함께). 베이킹 프로세스 중에, 화학 입자와 같은 다양한 오염물이 생성될 수도 있다(예를 들어, 현상제 용액으로부터의 증발). 이들 오염물 입자가 베이킹 모듈(500) 내부에 남아 있는 것은 바람직하지 않다. 따라서, 배기 컴포넌트(하나 이상의 팬을 포함할 수도 있음)의 동작은 공기의 유동을 거쳐 베이킹 모듈(500)로부터 오염물을 효과적으로 제거한다.
도 8은 고온 플레이트(530) 및 웨이퍼(520)의 복수의 센서의 중첩된 개략 평면도를 도시하고 있다. 웨이퍼(520)의 윤곽 또는 경계는 도 8에 파선으로서 도시되어 있다. 전술된 바와 같이, 웨이퍼(520)는 생산 웨이퍼가 아니라 테스트 웨이퍼이고, 따라서 센서는 그 내부의 회로를 손상하는 염려 없이 웨이퍼(520) 상에 배치될 수도 있다. 도 8에 도시되어 있는 실시예에서, 복수의 열 센서(1 내지 29)(예를 들어, 온도를 측정하기 위한 센서)가 웨이퍼(520) 상에 배치되어, 웨이퍼 열 센서(1 내지 29)가 웨이퍼 열 센서(1 내지 29)의 위치에 대응하는 웨이퍼의 부분에 의해 경험되는 온도를 측정할 수 있게 된다. 한편, 고온 플레이트(530)는 도 8에 도시되어 있는 실시예에서 복수의 가열기 채널, 예를 들어 채널(CH1 내지 CH13)을 포함한다. 채널(CH1 내지 CH13)은 열이 개별적으로 그리고/또는 독립적으로 인가될 수 있는 고온 플레이트(530)의 구역 또는 지역에 각각 대응한다.
각각의 채널(CH1 내지 CH13)은 웨이퍼 열 센서(1 내지 29) 중 하나 이상과 연관될 수도 있다. 달리 말하면, 하나 이상의 웨이퍼 열 센서(1 내지 29)는 각각의 채널(CH1 내지 CH13) 상위에 있는 웨이퍼(520)의 구역 내에 배치된다. 예를 들어, 채널(CH1)은 웨이퍼 열 센서(10, 14, 15, 16, 20)와 연관되고, 채널(CH2)은 웨이퍼 열 센서(17, 18, 6, 9, 22, 25)와 연관되고, 채널(CH3)은 웨이퍼 열 센서(2, 7, 5, 6, 8, 9)와 연관되는 등이다. 이하의 표 1은 채널 번호와 이들의 각각의 연관된 웨이퍼 열 센서를 열거하고 있다.
채널 번호 웨이퍼 열 센서 번호
CH1 10, 14, 15, 16, 20
CH2 17, 18, (6, 9, 22, 25)
CH3 2, 7, (5, 6, 8, 9)
CH4 12, 13, (5, 8, 21, 24)
CH5 23, 28, (21, 22, 24, 25)
CH6 19
CH7 4
CH8 1
CH9 3
CH10 11
CH11 26
CH12 29
CH13 27
웨이퍼 열 센서(1 내지 29)의 일부는 다수의 채널 사이에서 "공유"된다는 것을 주목하라. 예를 들어, 웨이퍼 열 센서(6)는 실제로 채널(CH2, CH3) "사이에" 위치되어 있기 때문에, 채널(CH2, CH3) 사이에 "공유된다". 다른 예로서, 웨이퍼 열 센서(25)는 실제로 채널(CH2, CH5) "사이에" 위치되어 있기 때문에, 채널(CH2, CH5) 사이에 "공유된다". 이들 공유된 웨이퍼는 괄호 안에 있는 것으로서 표 1에 나타내고 있다. 예를 들어, 채널(CH2)은 웨이퍼 열 센서(17, 18, 6, 9, 22, 25)와 연관되고, 여기서 웨이퍼 열 센서(17, 18)는 채널(CH2)과 연기되고 다른 채널과는 연관되지 않지만, 웨이퍼 열 센서(6, 9, 22, 25)는 채널(CH2)과 하나 이상의 다른 채널 사이에 각각 "공유된다".
전술된 채널 및 웨이퍼 열 센서의 구성은 단지 예를 제공한다는 것이 이해된다. 대안적인 실시예에서, 상이한 수의 채널 및/또는 상이한 수의 웨이퍼 열 센서가 존재할 수도 있고, 이들의 각각의 위치는 마찬가지로 상이할 수도 있다.
고온 플레이트(530)가 실제 반도체 제조에 사용될 수 있기 전에, 인증 프로세스를 경험할 필요가 있다. 고온 플레이트(530)가 그 상이한 구역[예를 들어, 채널(CH1 내지 CH13)]을 가로질러 균일하게 열을 발생할 수 있는지 여부를 결정하기 위해, 다양한 테스트 및 측정이 고온 플레이트(530)를 사용하여 수행된다. 본 개시내용의 다양한 양태에 따르면, 온도의 단일의 측정보다는, 고온 플레이트(530)[또는 베이킹 모듈(500)]이 실제 반도체 제조에 사용되도록 인증되어야 하는지 여부를 결정하기 위해 누적 열량(또는 열의 양)이 사용된다.
예를 들어, 이제 도 9를 참조하면, 그래프(700)가 도시되어 있다. 그래프(700)는 온도 대 시간의 플롯(710)을 포함한다. 달리 말하면, 도 9의 X축은 예를 들어 초의 단위를 갖는 시간을 표현하고 있고, 도 9의 Y축은 예를 들어 섭씨의 단위를 갖는 온도를 표현하고 있다. 원점(예를 들어, X축과 Y축의 교점)은 0초의 시간 및 섭씨 0도의 온도에 대응한다.
플롯(710)은 기간에 걸친 전술된 웨이퍼 열 센서(1 내지 29) 및/또는 채널(CH1 내지 CH13) 중 하나 이상에 의해 측정된 온도를 표현하고 있다. 예를 들어, 플롯(710)은 온도가 상승되고 있는[예를 들어, 베이킹 모듈(500)에 의해] 상승 구역(720), 온도가 평탄역(또는 정적 레벨)에 도달하기 시작하는 정적 구역(730), 및 온도가 거의 정적 레벨에 체류하는 구역(740)을 포함한다. 그러나, 플롯(710)의 형상은 단지 비한정적인 예이고, 구역(720 내지 740)은 마찬가지로 다른 실시예에서 상이하게 정의될 수도 있다는 것이 이해된다.
더 상세하게, X0의 시간에, 웨이퍼(520)는 몇몇 실시예에서 실온(예를 들어, 섭씨 약 25도)일 수도 있는 초기 온도(Y0)를 갖는다. X0 후의 소정 시간 후에, 웨이퍼(520)의 온도는 고온 플레이트(530)에 의해 발생된 열에 기인하여, 상승되기 시작한다. X1의 시간에, 온도는 Y1에 도달하는 데, 이는 예시된 실시예에서 목표 정적 온도(예를 들어, 예시된 실시예에서 Y4)에서 섭씨 30도를 감산한 값으로서 정의된다. 예를 들어, 목표 정적 온도(Y4) - 웨이퍼가 상승될 것으로 예측되는 온도 - 가 섭씨 88도로 설정되는 것으로 가정하면, 온도(Y1)는 88-30=섭씨 58도이다. 이와 같이, 웨이퍼 열 센서가 웨이퍼 온도가 섭씨 58도에 도달한 것을 검출하자마자, X1인 대응 시간이 기록된다. X1 및 Y1은 상승 구역(720)의 시작을 마킹한다. 섭씨 88도의 목표 정적 온도 및 목표 정적 온도와 온도(X1) 사이의 섭씨 30도 온도차는 단지 예일 뿐이고, 이들의 각각의 값은 대안적인 실시예에서 변경될 수도 있다는 것이 이해된다.
시간(X1) 후의 미리 규정된 시간(X2)에, 온도가 베이킹 모듈(500) 내부에서 계속 상승됨에 따라, 온도는 레벨(Y2)에 도달한다. 몇몇 실시예에서, X2는 X1 후의 34.5초인 것으로 규정되지만, 이 값은 대안적인 실시예에서 변경될 수도 있다는 것이 이해된다. 온도(Y2)는 온도(Y1)보다 높지만, 목표 정적 온도(Y4)보다 낮을 수도 있다(근접하지만). X2 및 Y2는 상승 구역(720)의 종점, 뿐만 아니라 정적 구역(730)의 시작점을 마킹한다.
시간(X3)에, 웨이퍼(520)는 Y3의 온도에 도달한다. 몇몇 실시예에서, 온도(Y3)는 목표 정적 온도(Y4)에 동등하고 또는 무시할만한 양만큼(예를 들어, 섭씨 1도 미만) Y4와는 상이하다. X3 및 Y3은 정적 구역(730)의 종점, 뿐만 아니라 구역(740)의 시작점을 마킹한다.
전술된 바와 같이, 본 개시내용은 베이킹 모듈(500)이 충분한 온도 균일성을 제공하는지 여부를 결정하기 위해, 단일 온도 판독치 자체보다는, 웨이퍼에 의해 경험된 누적 열량을 결정한다. 누적 열량을 표현하는 일 방식은 상승 구역(720) 및 정적 구역(730) 내와 같은, 그 다양한 구역에서 플롯(710)의 적분을 취하는 것이다. 달리 말하면, 상승 구역(720) 내의 곡선 아래의[즉, 플롯(710) 아래의] 면적이 결정될 수도 있고, 정적 구역(730) 내의 곡선 아래의 다른 면적이 결정될 수도 있다. 간단화를 위해, 복수의 데이터 샘플(웨이퍼 온도에 관한)은 웨이퍼 열 센서(1 내지 29)에 의해 취해질 수도 있고, 각각의 웨이퍼 열 센서에 의해 측정된 온도는 각각의 구역(720, 730)에 대해 함께 합산될 수도 있어, 각각의 구역(720, 730)과 연관된 적분값을 표현하거나 근사한다.
후속 설명을 용이하게 하기 위해, 2개의 상이한 고온 플레이트(1, 2)를 위한 온도 데이터점이 도 10a 및 도 10b와 도 11a 및 도 11b에 각각 도시되어 있다. 더 상세하게, 도 10a 및 도 10b는 고온 플레이트(1)를 위한 데이터를 포함하는 표(810) 및 표(811)를 도시하고 있고, 도 11a 및 도 11b는 고온 플레이트(2)를 위한 데이터를 포함하는 표(820) 및 표(821)를 도시하고 있다. 양 고온 플레이트(1) 및 고온 플레이트(2)는 전술된 고온 플레이트(530)의 실시예이지만, 이들은 2개의 상이한 실제 베이킹 모듈에 속한다.
도 10a 및 도 10b를 참조하면, 표(810)는 상승 구역(720)("상승" 열 아래) 및 정적 구역(730)("정적" 열 아래)의 모두에서 각각의 웨이퍼 열 센서(1 내지 29)(예를 들어, RTD1 내지 RTD29에 각각 대응하는 행)에 의해 측정된 누적된(예를 들어, 합) 웨이퍼 온도를 포함한다. 몇몇 실시예에서, 온도 데이터는 매 0.5초마다 웨이퍼 열 센서(1 내지 29)에 의해 측정된다. 그러나, 0.5초 간격은 단지 예일 뿐이고, 다른 실시예에서 다른 값(예를 들어, 0.1초, 또는 1초)일 수도 있다. 상승 구역(720)의 시작 시간(X1)으로부터 종료 시간(X2)에, 복수의 데이터 샘플은 측정된 웨이퍼 온도에 관하여 취해진다. 이들 데이터 샘플은 각각의 웨이퍼 열 센서(1 내지 29)에 대해 함께 합산되어, 표(810)의 "상승" 열 내의 누적된 온도값에 도달한다. 유사하게, 누적된 온도값은 시간(X2)으로부터 시간(X3)까지 데이터 샘플을 함께 합산함으로써 "정적" 열에 대해 얻어진다.
예를 들어, 웨이퍼 열 센서(1)(즉, RTD1)에 대해, 매 0.5초마다 측정된 온도는 시간(X1)으로부터 시간(X2)까지[즉, 상승 구역(720)의 시작으로부터 종료까지] 함께 합산되고, 최종값은 표 810에 도시되어 있는 바와 같이 5030.92100이다. 동일한 웨이퍼 열 센서(1)에 대해, 매 0.5초마다 측정된 온도는 시간(X2)으로부터 시간(X3)까지 함께 합산되고, 최종값은 4224.44400이다. 이와 같이, 값 5030.92100은 상승 구역(720)에서 웨이퍼 열 센서(1)에 의해 경험된 총 열량을 표현하고 있고, 값 4224.44400은 정적 구역(730)에서 웨이퍼 열 센서(1)에 의해 경험된 총 열량을 표현하고 있다. 달리 말하면, 값 5030.92100 및 4224.44400은 상승 구역(720) 및 정적 구역(730) 각각에 대한 플롯(710)에 대해 곡선 아래의 면적(또는 적분)을 표현하고 있다. 표(810)의 나머지는 각각의 웨이퍼 열 센서(1 내지 29)에 대해 동일한 단계를 반복함으로써 파퓰레이팅된다(populated). 적분에 근사하기 위해 복수의 샘플을 취하는 - 실제 적분 계산을 직접 수행하기보다는 - 이유 중 하나는 실제 하드웨어 및 소프트웨어 제약에 기인한다는 것이 이해된다. 실제 적분 계산은 반도체 제조 맥락에서 비현실적인 무한 수의 온도 샘플을 필요로 할 수도 있다. 더 많은 샘플을 취하는 것(예를 들어, 매 0.1초마다 또는 심지어 매 0.05초마다와 같은, 더 짧은 시간 간격에서 샘플을 취하는 것)은 본 명세서에서의 계산이 더 느린 프로세스 시간을 희생하여, 실제 적분 계산에 더 양호하게 근사하는 것을 허용한다. 본 개시내용의 다양한 양태에 따르면, 매 0.5초마다 샘플을 취하는 것은 또한 적분 계산에 충분히 가깝게 유사하면서, 전술된 프로세스가 비교적 신속하게 수행되는 것을 허용한다. 그러나, 대안적인 실시예에서, 0.5초 간격은 특정 요구에 따라 조정될 수도 있다는 것이 이해된다. 예를 들어, 더 정확한 데이터가 요구되지만 프로세스 시간이 문제가 아닐 때, 0.5초 간격은 감소될 수도 있다. 역으로, 프로세스가 더 신속하게 수행될 필요가 있지만 고정확도가 중요한 것은 아닐 때, 0.5초 간격은 증가될 수도 있다.
표(810)가 발생된 후에, 표준 편차는 상승 구역(720)에서 웨이퍼 열 센서(1 내지 29)에 대응하는 모든 누적 열량 데이터에 대해 계산될 수도 있다. 몇몇 실시예에서, 3-시그마(three-sigma)(예를 들어, 3개의 표준 편차) 값이 계산되는 데, 이는 예시된 실시예에서 4.539033666이다. 평균값(예를 들어, "정적" 열 내의 값의 합을 29로 나눈 값)이 또한 정적 구역(730)에서 모든 웨이퍼 열 센서(1 내지 29)에 대해 계산되는 데, 이는 예시된 실시예에서 4224.57169로 계산된다. 이들 값은 더 상세히 후술되는 바와 같이, 고온 플레이트(1)를 인증해야 하는지 여부를 결정하기 위해 이후에 사용될 것이다.
도 10a 및 도 10b를 계속 참조하면, 표(811)는 표(810)와 유사하게 발생되는 데, 일 차이점은: 표(810)의 행이 개별 웨이퍼 열 센서(1 내지 29)에 대한 데이터 샘플에 대응하는 반면에, 표(811)의 행은 채널(CH1 내지 CH13)에 대한 데이터 샘플에 대응한다는 것이다. 전술된 바와 같이, 각각의 채널(CH1 내지 CH13)[표(811)에 CH1 내지 CH13으로서 표기되어 있음]은 하나 이상의 웨이퍼 열 센서(1 내지 29)와 연관된다. 이와 같이, 각각의 채널을 위한 데이터는 모든 그 연관된 채널을 위한 데이터를 평균함으로써 계산될 수도 있다. 예로서, 채널(CH1)은 웨이퍼 열 센서(10, 14, 15, 16, 20)와 연관된다. 표(810)에 나타낸 바와 같이, 웨이퍼 열 센서(10, 14, 15, 16, 20)를 위한 측정된 데이터는 각각 5034.437, 5035.672, 5033.15, 5031.554, 및 5033.64이다. 이들 값은 함께 가산되어 5로 나눗셈되는 데(5개의 센서가 존재하기 때문에), 이는 표(811)에서 채널(CH1)에 대한 누적된 온도에 대해 5033.69060의 결과를 산출한다. 이 5033.69060의 값은 상승 구역(720)에 대한 것이고, 동일한 단계가 정적 구역(730)이 값 4224.70320에 도달하게 하기 위해 수행될 수도 있다. 다수의 연관된 웨이퍼 열 센서를 갖지 않는 채널에 있어서, 표(811)에서 그 값은 대응 웨이퍼 열 센서에 대한 표(810)에서의 값과 동일하다. 예를 들어, 채널(CH13)은 단지 웨이퍼 센서(27)와 연관된다. 이와 같이, 표(811)에서의 채널(CH13)에 대한 값은 표(810)에서의 웨이퍼 열 센서(27)에 대한 값과 동일하다. 이 방식으로, 표(811)의 나머지가 파퓰레이팅된다.
전술된 바와 같이, 표(810, 811)에 나타낸 측정된 데이터 샘플은 웨이퍼(520)에 의해 경험되어 고온 플레이트(1)에 의해 전달된 누적 열에너지를 표현하고 있다. 누적 에너지는 이어서 하나 이상의 미리 규정된 임계치와 비교되어 고온 플레이트(1)가 생산 준비 완료 고온 플레이트로서 인증되어야 하는지 여부를 결정한다. 예를 들어, 하나의 미리 규정된 임계치는 상승 구역에서 채널(CH1 내지 CH13)에 의해 경험된 누적 열에너지의 범위 변동에 속한다. 표(811)에 나타낸 바와 같이, 이 범위 변동은 최대 누적 열에너지[채널(CH10)에 대응함]에서 최소 누적 열에너지[채널(CH4)에 대응함]를 감산한 값으로서 계산될 수 있다. 차이는 2.90650으로서 계산된다. 일 실시예에서, 미리 규정된 임계치는 10이다. 따라서, 고온 플레이트(1)는 이 미리 규정된 임계치를 통과(pass)하는 데, 이는 상승 구역에서 채널(CH1 내지 CH13)에 의해 경험된 누적 열에너지의 범위 변동이 10 미만인 2.90650이기 때문이다. 10 초과의 범위 변동을 갖는 고온 플레이트에 있어서, 이들은 고온 플레이트 인증 테스트를 실패한 것으로 간주된다.
다른 미리 규정된 임계치가 상승 구역에서 누적 열에너지의 3-시그마 값에 속한다. 표(810)에 나타낸 바와 같이, 계산된 3-시그마 값은 4.539033666이다. 미리 규정된 임계치는 10으로서 정의될 수도 있다. 이와 같이, 고온 플레이트(1)는 이 테스트를 통과하는 데, 4.539033666의 그 3-시그마 값이 10 미만이기 때문이다. 그러나, 그 대응 3-시그마 값이 10 초과인 고온 플레이트에 있어서, 이들은 고온 플레이트 인증 테스트를 실패한 것으로 간주된다.
또 다른 미리 규정된 임계치는 상이한 고온 플레이트를 위한 정적 구역에서의 누적 열에너지 사이의 변동에 속한다. 도 11a 및 도 11b를 참조하면, 표(820, 821)는, 데이터점이 상이한 고온 플레이트(2)로부터 취해지고, 반면에 표(810 내지 811)의 데이터점은 고온 플레이트(1)로부터 취해지는 것을 제외하고는, 표(810 내지 811) 각각에서와 동일한 방식으로 발생된다. 고온 플레이트(1, 2)는 실질적으로 동일하게 설계되고 구성되더라도, 약간의 차이가 여전히 이들 사이에 존재할 수도 있다. 이와 같이, 표(810 내지 811)의 값은 표(820 내지 821)의 값과는 약간 상이할 수도 있다. 표(810, 820)에 나타낸 바와 같이, 정적 구역을 위한 평균 누적 열에너지는 고온 플레이트(1)에 대해 4224.57169 및 고온 플레이트(2)에 대해 4225.02000이다. 이들 사이의 차이는 0.44831인 것으로 계산된다. 미리 규정된 임계치는 1.65로서 정의될 수도 있다. 이와 같이, 고온 플레이트(1, 2)는 이 테스트를 통과하는 데, 이는 0.44831의 그 차이가 1.65 미만이기 때문이다. 그러나, 누적 열에너지의 차이가 1.65 초과인 고온 플레이트에 있어서, 이들은 고온 플레이트 인증 테스트를 실패한 것으로 간주된다.
전술된 이들 미리 규정된 임계치의 값은 단지 비한정적인 예일 뿐이고, 다른 적합한 값이 대안적인 실시예에서 사용될 수도 있다는 것이 이해된다. 예를 들어, 더 엄격한 인증 표준이 요구되면, 전술된 범위 변동에 대해 10의 미리 규정된 임계치는 몇몇 실시예에서 3으로 감소될 수도 있다. 더욱이, 전술된 누적 열에너지를 계산하는 데 사용된 알고리즘은 또한 비한정적인 예이다. 게다가, 동일한 테스트가 정적 구역(730)에 대해서와 같이 정적 구역(720)에 대해 행해질 수도 있다. 더욱이, 베이킹 모듈의 인증은 전술된 테스트 중 반드시 모두는 아닌 하나 이상(또는 누적 열에너지량에 속하는 다른 부가의 테스트)을 통과하는 것을 수반할 수도 있다는 것이 이해된다. 누적 열에너지량에 관한 테스트는 상승 구역(720) 또는 정적 구역(730) 이외의 구역에 대해, 예를 들어 구역(740)에 대해 반복될 수도 있다는 것이 또한 이해된다. 베이킹 모듈을 위한 정확한 인증 시험이 어떻게 수행되는지에 무관하게, 인증 시험은 단지 단일의 온도 측정보다는, 누적 열량 또는 열에너지를 사용하여 행해진다는 것이 이해된다. 이와 같이, 본 개시내용은 베이킹 모듈의 열 균일성 성능의 더 정확한 평가를 제공할 수 있다.
도 12는 베이킹 모듈(500)을 위한 인증 시험을 수행하기 위한 방법(900)을 도시하고 있는 흐름도이다. 다양한 실시예에서, 방법(900)의 하나 이상의 단계는 도 5의 제어기(480)와 같은 제어기를 사용하여 적어도 부분적으로 수행될 수도 있다.
방법(900)은 예를 들어, 온도를 측정하기 위해 전술된 열 센서(1 내지 29)를 사용하여, 온도를 점검하기 위한 단계 910을 포함한다. 센서는 웨이퍼(520)와 같은 테스트 웨이퍼 상에 구현될 수도 있다. 온도는 베이킹 모듈(500)이 온도를 상승함에 따라[예를 들어, 상승 구역(720)에서], 또는 온도가 거의 정상 상태에 도달함에 따라[예를 들어, 정적 구역(730 또는 740)에서] 측정될 수도 있다.
방법(900)은 동작 파라미터를 최적화하기 위한 단계 920을 포함한다. 동작 파라미터는 전술된 제어기(480)에 의해 제어되거나 최적화될 수 있는 베이킹 모듈의 파라미터일 수도 있다. 몇몇 실시예에서, 파라미터의 최적화는 그 채널에 의해 발생된 열의 양을 조정하기 위해 채널(CH1 내지 CH13) 중 하나 이상의 세팅을 변경하는 것을 포함할 수도 있다. 예를 들어, 채널(CH1)과 연관된 열 센서에 의해 측정된 온도는 채널의 나머지를 위한 열 센서에 의해 측정된 온도보다 실질적으로 더 클 수도 있다. 이는 채널(CH1)이 너무 많은 열을 발생하는 것을 지시할 수도 있다. 온도 균일성을 보장하기 위해, 단계 920은 채널(CH1)이 이전보다 적은 열을 발생하도록 베이킹 모듈(500)의 세팅을 조정할 수도 있다.
방법(900)은 N개의 루프가 완료되었는지 여부를 결정하기 위한 단계 930을 포함한다. 단계 910 및 920의 수행은 하나의 루프로서 고려될 수도 있다. 몇몇 실시예에서, N은 3이다. N의 다른 값이 대안적인 실시예에서 사용될 수도 있다. 결정 단계(930)로부터의 응답이 아니오이면, 방법(900)은 단계 910으로 복귀하여 단계 910 및 920의 적어도 하나의 부가의 루프를 반복한다.
결정 단계(930)로부터의 응답이 예이면, 방법(900)은 단계 940으로 진행하여 베이킹 모듈의 누적 열량 데이터를 하나 이상의 미리 규정된 임계치와 비교한다. 전술된 바와 같이, 본 개시내용은 베이킹 모듈의 열 균일성 성능을 위한 게이지로서 누적 열량을 사용하는 데, 이는 단지 온도를 측정하는 것보다 더 정확하다. 다양한 실시예에서, 누적 열량의 측정 및 미리 규정된 임계치와의 비교가 도 9 내지 도 11을 참조하여 전술된 알고리즘에 따라 수행될 수도 있다.
단계 940의 결과에 기초하여, 방법(900)은 베이킹 모듈이 생산 준비 완료가 되기 위해 인증되어야 하는지를 결정하기 위한 단계 950을 수행한다. 예를 들어, 비교가 측정된 누적 열량 데이터가 미리 규정된 임계치를 실패하는 것(예를 들어, 그 미만이어야 할 때 미리 규정된 임계치를 초과함)을 지시하면, 베이킹 모듈은 인증에 실패하는 것으로 간주되고, 베이킹 모듈이 인증될 수 있기 전에 부가의 단계가 취해질 필요가 있다. 단계 940 내지 950은 집합적으로 "판정" 단계라 칭할 수도 있는 데, 이는 이들 단계가 베이킹 모듈이 실제 반도체 제조를 위해 사용될 준비가 되어 있는지 여부를 "판정"하기 때문이다.
몇몇 실시예에서, 여기서 인증은 PEB 프로세스에 속하고, 다른 베이킹 또는 열적 가열 프로세스에는 속하지 않는다는 것을 주목하라. 달리 말하면, 여기서 베이킹 모듈은 실제 제조에서 비-PEB 열적 가열 프로세스에 사용 가능하기 위해 인증될 수도 있는 데, 이는 이들 열적 가열 프로세스가 PEB 프로세스만큼 엄격한 가열 균일성을 요구하지 않을 수도 있기 때문이다. 따라서, 비-PEB 프로세스에서 사용되도록 베이킹 모듈을 인증하기 위한 인증 표준은 낮을 수도 있고, 베이킹 모듈은 더 엄격한 PEB 인증 테스트는 통과하지 않을지라도, 비-PEB 인증 테스트를 통과하는 것으로 판정될 수도 있다.
단계 950으로부터의 응답이 예이면, 방법(900)은 단계 960으로 진행하여 특히 노광 후 베이킹 프로세스에서 베이킹 모듈로서, 실제 반도체 제조에 사용될 준비가 된 것으로서 베이킹 모듈을 인증한다. 단계 950으로부터의 응답이 아니오이면, 방법(900)은 단계 970으로 진행하여 M개의 루프가 도달되어 있는지 여부를 결정하고, 여기서 M > N이다. 몇몇 실시예에서, M은 10이다. 전술된 바와 같이, 단계 910 및 920의 실행은 집합적으로 루프로서 간주된다. M개의 루프가 도달되지 않았으면, 방법은 단계 910으로 재차 돌아간다. M개의 루프가 도달되었고, 단계 940 내지 950으로부터의 "판정" 결과가 베이킹 모듈이 여전히 제조에 사용될 준비가 되어 있지 않으면, 방법(900)은 베이킹 모듈을 비인증하기 위해 단계 980으로 진행한다. 베이킹 모듈이 판매자로부터 구매되었던 몇몇 실시예에서, 베이킹 모듈은 수리 또는 교체를 위해 해당 판매자에 반송될 수도 있다.
다른 실시예에서, 특정의 미조정(tweak)이 베이킹 모듈을 판매자에게 반송하지 않고 베이킹 모듈에 행해질 수도 있다. 예를 들어, 온도 균일성의 결여를 보상하기 위해, 간극 핀(540) 중 하나 이상의 높이는 조정될 수도 있고, 진공 홀(550) 중 하나 이상 내의 진공은 조정될 수도 있고, 또는 배기 속도 또는 구성은 조정될 수도 있다. 이들 조정이 행해진 후에, 방법(900)은 베이킹 모듈(이들 조정이 행해진 후에)이 인증될 수 있는지를 확인하기 위해 재차 반복될 수도 있다. 베이킹 모듈이 여전히 인증될 수 없으면, 이는 판매자로 반송될 수도 있다. 몇몇 실시예에서, 이들 조정(간극 핀, 진공 홀, 또는 배기 컴포넌트에 관한)은 각각의 판정 프로세스 후에, 예를 들어 단계 940 내지 950이 수행된 후에 또한 행해질 수도 있다는 것이 또한 이해된다.
도 13은 베이킹 모듈을 위한 인증 시험을 수행하기 위한 간단화된 방법(1000)을 도시하고 있는 흐름도이다. 방법(1000)은 베이킹 프로세스의 누적 열에너지량을 측정하는 단계(1010)를 포함한다. 베이킹 프로세스는 웨이퍼를 가열하기 위해 베이킹 모듈에 의해 수행된다.
방법(1000)은 측정된 누적 열에너지량에 기초하여, 베이킹 모듈이 실제 반도체 제조에 사용되기 위해 인증되어야 하는지 여부를 결정하는 단계(1020)를 포함한다.
방법(1000)은 베이킹 모듈이 실제 반도체 제조에 사용되기 위해 인증되어야 한다는 결정에 응답하여, 노광 후 베이킹(PEB) 프로세스를 수행하도록 베이킹 모듈을 사용하는 단계(1030)를 포함한다.
방법(1000)은 베이킹 모듈이 인증되지 않아야 한다는 결정에 응답하여, 베이킹 모듈의 하나 이상의 하드웨어 컴포넌트를 수정하는 단계(1040)를 포함한다. 그 후에, 방법(1000)은 단계 1010 및 1020을 반복할 수도 있다. 몇몇 실시예에서, 베이킹 모듈은 웨이퍼와 가열 플레이트 사이에 배치된 복수의 간극 핀을 포함하고, 수정은 간극 핀의 하나 이상의 높이를 조정하는 것을 포함한다. 몇몇 실시예에서, 베이킹 모듈은 가열 플레이트 내에 배치된 복수의 진공 홀을 포함하고, 진공 홀은 웨이퍼의 위치를 고정하기 위한 부분 진공을 생성하도록 각각 구성되고, 수정은 진공 홀의 하나 이상에 의해 생성된 부분 진공을 조정하는 것을 포함한다. 몇몇 실시예에서, 베이킹 모듈은 베이킹 모듈로부터 오염물을 제거하도록 구성된 배기 컴포넌트를 포함하고, 수정은 배기 컴포넌트의 속도를 조정하는 것을 포함한다.
몇몇 실시예에서, 측정 단계(1010)는 웨이퍼 상에 배치된 하나 이상의 열 센서를 사용하여 수행된다.
몇몇 실시예에서, 측정 단계(1010)는 복수의 시점에 웨이퍼의 복수의 온도를 각각 측정하는 것; 및 누적 열에너지량으로서 복수의 온도의 합을 계산하는 것을 포함한다.
몇몇 실시예에서, 방법(1000)은 복수의 상이한 누적 열에너지량을 얻기 위해 측정을 복수회 반복할 수도 있고, 측정이 수행될 때마다, 복수의 열 센서의 상이한 것이 사용된다. 그 경우에, 결정은 복수의 상이한 누적 열에너지량의 표준 편차를 계산하는 것; 및 계산된 표준 편차가 미리 규정된 임계치를 통과하는지 여부를 결정하는 것을 포함한다. 몇몇 실시예에서, 표준 편차를 계산하는 것은 3-시그마값을 계산하는 것을 포함한다.
몇몇 실시예에서, 베이킹 모듈은 복수의 열 지역을 포함하고, 결정 단계(1010)는 이하의 서브단계: 측정에 기초하여, 각각의 열 지역에 대한 각각의 누적 열에너지량을 결정하는 단계로서, 열 지역 중 제1 열 지역은 최대 누적 열에너지량을 갖고, 열 지역 중 제2 열 지역은 최소 누적 열에너지량을 갖는 것인, 각각의 누적 열에너지량을 결정하는 단계; 최대 누적 열에너지량과 최소 누적 열에너지량 사이의 차이를 계산하는 단계; 및 차이가 미리 규정된 임계치 미만인지 여부를 결정하는 단계를 포함한다.
몇몇 실시예에서, 측정은 각각의 베이킹 모듈에 대한 상이한 누적 열에너지량을 산출하기 위해 복수의 베이킹 모듈에 대해 수행되고; 결정은 상이한 누적 열에너지량이 미리 규정된 임계치보다 작은지 여부를 결정하는 것을 포함한다.
부가의 단계가 도 13의 단계 1010 내지 1040 전, 중, 또는 후에 수행될 수도 있다는 것이 이해된다. 예를 들어, 방법(1000)은 베이킹 모듈이 비인증되면 수리 또는 교체를 위해 판매자에게 베이킹 모듈을 반송하는 단계를 포함할 수도 있다. 다른 프로세스는 간단화의 이유로 본 명세서에 상세히 설명되지 않는다.
상기 설명에 기초하여, 본 개시내용은 베이킹 모듈을 인증하기 위한 종래의 방법에 비해 다양한 장점을 제공한다는 것을 알 수 있다. 그러나, 모든 장점이 반드시 본 명세서에 설명되어 있는 것은 아니고, 다른 실시예는 다른 장점을 제공할 수도 있고, 어떠한 특정 장점도 모든 실시예에 요구되는 것은 아니라는 것이 이해된다. 장점 중 하나는, 열 균일성 성능을 위한 게이지로서 누적 열에너지량을 사용함으로써, 본 개시내용은 베이킹 모듈(고온 플레이트를 포함함)이 필드에서 얼마나 양호하게 수행할 것인지를 더 정확하게 예측할 수 있다. 따라서, 인증 시험을 통과한 베이킹 모듈이 열 균일성 제어가 중요한 PEB 프로세스와 같은 제조 프로세스에서 양호하게 수행될 수 있다는 더 큰 확신이 존재한다. 본 개시내용의 양태에 따라 인증된 베이킹 모듈을 사용하여 제조된 디바이스는 향상된 성능, 예를 들어 더 양호한 임계 치수 균일성 및 임계 치수 범위를 가질 것이다. 다른 장점은, 전술된 프로세스가 기존의 제조 프로세스 흐름과 호환성이 있고, 구현이 용이하다는 것이다.
본 개시내용은 방법을 제공한다. 방법은 베이킹 프로세스의 누적 열에너지량을 측정하는 것으로서, 베이킹 프로세스는 웨이퍼를 가열하기 위해 베이킹 모듈에 의해 수행되는 것인, 누적 열에너지량을 측정하는 것; 및 측정된 누적 열에너지량에 기초하여, 베이킹 모듈이 실제 반도체 제조에 사용되기 위해 인증되어야 하는지 여부를 결정하는 것을 포함한다. 몇몇 실시예에서, 측정은 웨이퍼 상에 배치된 하나 이상의 열 센서를 사용하여 수행된다. 몇몇 실시예에서, 측정은 복수의 시점에 웨이퍼의 복수의 온도를 각각 측정하는 것; 및 누적 열에너지량으로서 복수의 온도의 합을 계산하는 것을 포함한다. 몇몇 실시예에서, 방법은 복수의 상이한 누적 열에너지량을 얻기 위해 측정을 복수회 반복하는 것을 더 포함하고, 측정이 수행될 때마다, 복수의 열 센서의 상이한 것이 사용된다. 몇몇 실시예에서, 결정은 복수의 상이한 누적 열에너지량의 표준 편차를 계산하는 것; 및 계산된 표준 편차가 미리 규정된 임계치를 통과하는지 여부를 결정하는 것을 포함한다. 몇몇 실시예에서, 표준 편차를 계산하는 것은 3-시그마값을 계산하는 것을 포함한다. 몇몇 실시예에서, 베이킹 모듈은 복수의 열 지역을 포함하고, 결정은 측정에 기초하여, 각각의 열 지역에 대한 각각의 누적 열에너지량을 결정하는 것으로서, 열 지역 중 제1 열 지역은 최대 누적 열에너지량을 갖고, 열 지역 중 제2 열 지역은 최소 누적 열에너지량을 갖는 것인, 각각의 누적 열에너지량을 결정하는 것; 최대 누적 열에너지량과 최소 누적 열에너지량 사이의 차이를 계산하는 것; 및 차이가 미리 규정된 임계치 미만인지 여부를 결정하는 것을 포함한다. 몇몇 실시예에서, 측정은 각각의 베이킹 모듈에 대한 상이한 누적 열에너지량을 산출하기 위해 복수의 베이킹 모듈에 대해 수행되고; 결정은 상이한 누적 열에너지량이 미리 규정된 임계치보다 작은지 여부를 결정하는 것을 포함한다. 몇몇 실시예에서, 방법은 베이킹 모듈이 인증되지 않아야 한다는 결정에 응답하여, 베이킹 모듈의 하나 이상의 하드웨어 컴포넌트를 수정하는 것; 및 베이킹 모듈의 하나 이상의 하드웨어 컴포넌트가 수정된 후에 측정 및 결정을 반복하는 것을 더 포함한다. 몇몇 실시예에서, 베이킹 모듈은 웨이퍼와 가열 플레이트 사이에 배치된 복수의 간극 핀을 포함하고, 수정은 간극 핀의 하나 이상의 높이를 조정하는 것을 포함한다. 몇몇 실시예에서, 베이킹 모듈은 가열 플레이트 내에 배치된 복수의 진공 홀을 포함하고, 진공 홀은 웨이퍼의 위치를 고정하기 위한 부분 진공을 생성하도록 각각 구성되고, 수정은 진공 홀의 하나 이상에 의해 생성된 부분 진공을 조정하는 것을 포함한다. 몇몇 실시예에서, 베이킹 모듈은 베이킹 모듈로부터 오염물을 제거하도록 구성된 배기 컴포넌트를 포함하고, 수정은 배기 컴포넌트의 속도를 조정하는 것을 포함한다. 몇몇 실시예에서, 방법은 베이킹 모듈이 실제 반도체 제조에 사용되기 위해 인증되어야 한다는 결정 후에, 노광 후 베이킹(PEB) 프로세스를 수행하도록 베이킹 모듈을 사용하는 것을 더 포함한다.
본 개시내용의 다른 양태는 방법을 포함한다. 방법은 베이킹 모듈 내부에 배치된 테스트 웨이퍼를 베이킹하는 것; 하나 이상의 온도 센서를 거쳐, 베이킹 중에 테스트 웨이퍼에 전달된 누적 열량을 측정하는 것; 측정된 누적 열량을 미리 규정된 누적 열량 임계치와 비교하는 것; 측정된 누적 열량이 미리 규정된 누적 열량 임계치 내에 있다는 것을 지시하는 비교에 응답하여, 베이킹 모듈이 실제 반도체 제조를 위해 인증되었다고 결정하는 것; 및 측정된 누적 열량이 미리 규정된 누적 열량 임계치 밖에 있다는 것을 지시하는 비교에 응답하여, 베이킹 모듈이 실제 반도체 제조를 위해 인증되지 않았다고 결정하는 것을 포함한다. 몇몇 실시예에서, 방법은 베이킹 모듈이 실제 반도체 제조를 위해 인증되지 않았다는 결정에 응답하여, 베이킹 모듈을 위한 하나 이상의 소프트웨어 또는 하드웨어 조정을 수행하는 것을 더 포함한다.
본 개시내용의 다른 양태는 시스템을 포함한다. 시스템은 테스트 웨이퍼를 가열하도록 구성된 베이킹 모듈; 베이킹 모듈 내에 배치된 복수의 열 센서로서, 열 센서는 베이킹 모듈과 연관된 온도 데이터를 측정하도록 각각 구성되는 것인, 복수의 열 센서; 및 제어기로서, 소정 기간에 걸쳐 베이킹 모듈의 온도를 상승시키는 동작, 측정된 온도 데이터에 기초하여, 베이킹 모듈의 온도가 상승됨에 따라, 소정 기간에 걸쳐 베이킹 모듈에 의해 전달된 누적 열량을 결정하는 동작, 및 결정된 누적 열량에 기초하여 실제 반도체 제조를 위해 사용 가능한 것으로서 베이킹 모듈을 선택적으로 인증하는 동작을 포함하는 동작들을 수행하도록 구성된 제어기를 포함한다. 몇몇 실시예에서, 복수의 열 센서는 테스트 웨이퍼의 상이한 구역 상에 구현된다. 몇몇 실시예에서, 베이킹 모듈은 복수의 가열 채널을 갖는 플레이트를 포함하고, 각각의 가열 채널은 열 센서의 하나 이상과 연관된다. 몇몇 실시예에서, 베이킹 모듈은 노광 후 베이킹(PEB) 도구의 부분이다. 몇몇 실시예에서, 선택적으로 인증하는 것은 결정된 누적 열량이 미리 규정된 누적 열량 한계 내에 있으면 실제 반도체 제조를 위해 사용 가능한 것으로서 베이킹 모듈을 인증하는 것; 및 결정된 누적 열량이 미리 규정된 누적 열량 한계를 초과하면 실제 반도체 제조를 위해 베이킹 모듈을 비인증하는 것을 포함한다.
상기에는 당 기술 분야의 숙련자들이 본 발명의 양태를 더 양호하게 이해할 수도 있도록 다수의 실시예의 특징을 개략 설명하였다. 당 기술 분야의 숙련자들은 이들이 본 명세서에 소개된 실시예의 동일한 목적을 수행하고 그리고/또는 동일한 장점을 성취하기 위해 다른 프로세스 및 구조를 설계하거나 수정하기 위한 기초로서 본 개시내용을 즉시 사용할 수도 있다는 것을 이해해야 한다. 당 기술 분야의 숙련자들은 또한 이러한 등가의 구성이 본 발명의 사상 및 범주로부터 벗어나지 않는다는 것과, 이들이 본 발명의 사상 및 범주로부터 벗어나지 않고 본 명세서의 다양한 변경, 치환, 및 변형을 행할 수도 있다는 것을 또한 인식해야 한다.
실시예들
실시예 1. 방법에 있어서,
베이킹 프로세스의 누적 열에너지량을 측정하는 단계 - 상기 베이킹 프로세스는 웨이퍼를 가열하기 위해 베이킹 모듈에 의해 수행됨 -; 및
상기 측정된 누적 열에너지량에 기초하여, 상기 베이킹 모듈이 실제 반도체 제조에 사용되기 위해 인증되어야 하는지 여부를 결정하는 단계
를 포함하는, 방법.
실시예 2. 실시예 1에 있어서, 상기 측정하는 단계는 상기 웨이퍼 상에 배치된 하나 이상의 열 센서를 사용하여 수행되는 것인, 방법.
실시예 3. 실시예 1에 있어서, 상기 측정하는 단계는,
복수의 시점들에 상기 웨이퍼의 복수의 온도들을 각각 측정하는 단계; 및
상기 누적 열에너지량으로서 상기 복수의 온도들의 합을 계산하는 단계
를 포함하는 것인, 방법.
실시예 4. 실시예 1에 있어서, 복수의 상이한 누적 열에너지량들을 얻기 위해 상기 측정하는 단계를 복수회 반복하는 단계를 더 포함하고, 상기 측정하는 단계가 수행될 때마다, 복수의 열 센서들 중 상이한 열 센서가 사용되는 것인, 방법.
실시예 5. 실시예 4에 있어서, 상기 결정하는 단계는,
상기 복수의 상이한 누적 열에너지량들의 표준 편차를 계산하는 단계; 및
상기 계산된 표준 편차가 미리 규정된 임계치를 통과(pass)하는지 여부를 결정하는 단계
를 포함하는 것인, 방법.
실시예 6. 실시예 5에 있어서, 상기 표준 편차를 계산하는 단계는 3-시그마값을 계산하는 단계를 포함하는 것인, 방법.
실시예 7. 실시예 1에 있어서, 상기 베이킹 모듈은 복수의 열 지역들(heat zones)을 포함하고, 상기 결정하는 단계는,
상기 측정하는 단계에 기초하여, 상기 열 지역들 각각에 대한 각각의 누적 열에너지량을 결정하는 단계 - 상기 열 지역들 중 제1 열 지역은 최대 누적 열에너지량을 갖고, 상기 열 지역들 중 제2 열 지역은 최소 누적 열에너지량을 가짐 -;
상기 최대 누적 열에너지량과 상기 최소 누적 열에너지량 사이의 차이를 계산하는 단계; 및
상기 차이가 미리 규정된 임계치 미만인지 여부를 결정하는 단계
를 포함하는 것인, 방법.
실시예 8. 실시예 1에 있어서,
상기 측정하는 단계는 상기 베이킹 모듈들 각각에 대한 상이한 누적 열에너지량을 산출하기 위해 복수의 베이킹 모듈들에 대해 수행되고,
상기 결정하는 단계는 상기 상이한 누적 열에너지량이 미리 규정된 임계치보다 작은지 여부를 결정하는 단계를 포함하는 것인, 방법.
실시예 9. 실시예 1에 있어서,
상기 베이킹 모듈이 인증되지 않아야 한다는 결정에 응답하여, 상기 베이킹 모듈의 하나 이상의 하드웨어 컴포넌트를 수정하는 단계; 및
상기 베이킹 모듈의 상기 하나 이상의 하드웨어 컴포넌트가 수정된 후에 상기 측정하는 단계 및 상기 결정하는 단계를 반복하는 단계
를 더 포함하는, 방법.
실시예 10. 실시예 9에 있어서,
상기 베이킹 모듈은 상기 웨이퍼와 가열 플레이트 사이에 배치된 복수의 간극 핀들을 포함하고,
상기 수정하는 단계는 상기 간극 핀들 중 하나 이상의 간극 핀 높이를 조정하는 단계를 포함하는 것인 방법.
실시예 11. 실시예 9에 있어서,
상기 베이킹 모듈은 가열 플레이트 내에 배치된 복수의 진공 홀들(holes)을 포함하고, 상기 진공 홀들 각각은 상기 웨이퍼의 위치를 고정하기 위한 부분 진공을 생성하도록 각각 구성되고,
상기 수정하는 단계는 상기 진공 홀들 중 하나 이상에 의해 생성된 상기 부분 진공을 조정하는 단계를 포함하는 것인, 방법.
실시예 12. 실시예 9에 있어서,
상기 베이킹 모듈은 상기 베이킹 모듈로부터 오염물을 제거하도록 구성된 배기 컴포넌트를 포함하고,
상기 수정하는 단계는 상기 배기 컴포넌트의 속도를 조정하는 단계를 포함하는 것인, 방법.
실시예 13. 실시예 1에 있어서, 상기 베이킹 모듈이 실제 반도체 제조에 사용되기 위해 인증되어야 한다는 결정 후에, 노광 후 베이킹(post-exposure baking; PEB) 프로세스를 수행하도록 베이킹 모듈을 사용하는 단계를 더 포함하는, 방법.
실시예 14.
방법에 있어서,
베이킹 모듈 내부에 배치된 테스트 웨이퍼를 베이킹하는 단계;
하나 이상의 온도 센서를 거쳐, 상기 베이킹 동안 상기 테스트 웨이퍼에 전달된 누적 열량을 측정하는 단계;
상기 측정된 누적 열량을 미리 규정된 누적 열량 임계치와 비교하는 단계;
상기 측정된 누적 열량이 미리 규정된 누적 열량 임계치 내에 있다는 것을 지시하는 상기 비교에 응답하여, 상기 베이킹 모듈이 실제 반도체 제조를 위해 인증되었다고 결정하는 단계; 및
상기 측정된 누적 열량이 미리 규정된 누적 열량 임계치 밖에 있다는 것을 지시하는 상기 비교에 응답하여, 상기 베이킹 모듈이 실제 반도체 제조를 위해 인증되지 않았다고 결정하는 단계
를 포함하는, 방법.
실시예 15. 실시예 14에 있어서, 상기 베이킹 모듈이 실제 반도체 제조를 위해 인증되지 않았다는 상기 결정에 응답하여, 상기 베이킹 모듈을 위한 하나 이상의 소프트웨어 또는 하드웨어 조정을 수행하는 단계를 더 포함하는, 방법.
실시예 16. 시스템에 있어서,
테스트 웨이퍼를 가열하도록 구성된 베이킹 모듈;
상기 베이킹 모듈 내에 배치된 복수의 열 센서들 - 상기 열 센서들 각각은 상기 베이킹 모듈과 연관된 온도 데이터를 측정하도록 구성됨 -; 및
동작들을 수행하도록 구성된 제어기
를 포함하고,
상기 동작들은,
기간에 걸쳐 상기 베이킹 모듈의 온도를 상승시키는(ramp up) 동작;
상기 측정된 온도 데이터에 기초하여, 상기 베이킹 모듈의 온도가 상승됨에 따라, 상기 기간에 걸쳐 상기 베이킹 모듈에 의해 전달된 누적 열량을 결정하는 동작; 및
상기 결정된 누적 열량에 기초하여 실제 반도체 제조를 위해 사용 가능한 것으로서 상기 베이킹 모듈을 선택적으로 인증하는 동작
을 포함하는 것인, 시스템.
실시예 17. 실시예 16에 있어서, 상기 복수의 열 센서들은 상기 테스트 웨이퍼의 상이한 구역들 상에 구현되는 것인, 시스템.
실시예 18. 실시예 17에 있어서, 상기 베이킹 모듈은 복수의 가열 채널들을 갖는 플레이트를 포함하고, 상기 가열 채널들 각각은 상기 열 센서들 중 하나 이상과 연관되는 것인, 시스템.
실시예 19. 실시예 16항에 있어서, 상기 베이킹 모듈은 노광 후 베이킹(post-exposure baking; PEB) 도구의 부분인 것인, 시스템.
실시예 20. 실시예 16에 있어서, 상기 선택적으로 인증하는 동작은,
상기 결정된 누적 열량이 미리 규정된 누적 열량 한계 내에 있으면 실제 반도체 제조를 위해 사용 가능한 것으로서 상기 베이킹 모듈을 인증하는 동작; 및
상기 결정된 누적 열량이 미리 규정된 누적 열량 한계를 초과하면 실제 반도체 제조를 위해 상기 베이킹 모듈을 비인증하는 동작
을 포함하는 것인, 시스템.
100: 반도체 디바이스 140: 기판
150: 물질층 160: 포토레지스트층
170: 스핀 코팅 프로세스 220: 노광 프로세스
250: 베이킹 프로세스 300: 현상 프로세스
310: 개구 400: 반도체 제조 시스템
410: 포토레지스트 코팅 도구 430: 노광 도구
450: 노광 후 베이킹 도구 480: 제어기

Claims (10)

  1. 베이킹 모듈을 인증하기 위한 방법에 있어서,
    베이킹 프로세스의 누적 열에너지량을 측정하는 단계 - 상기 베이킹 프로세스는 웨이퍼를 가열하기 위해 베이킹 모듈에 의해 수행됨 -;
    상기 측정된 누적 열에너지량에 기초하여, 상기 베이킹 모듈이 실제 반도체 제조에 사용되기 위해 인증되어야 하는지 여부를 결정하는 단계 - 상기 베이킹 모듈은 상기 웨이퍼와 가열 플레이트 사이에 배치된 복수의 간극 핀들을 포함함 - ; 및
    상기 베이킹 모듈이 인증되지 않아야 한다는 결정에 응답하여, 온도 균일성의 결여를 보상하기 위해 하나 이상의 상기 간극 핀들의 높이를 조정하는 단계
    를 포함하는, 베이킹 모듈 인증 방법.
  2. 제1항에 있어서, 상기 측정하는 단계는 상기 웨이퍼 상에 배치된 하나 이상의 열 센서를 사용하여 수행되는 것인, 베이킹 모듈 인증 방법.
  3. 제1항에 있어서, 상기 측정하는 단계는,
    복수의 시점들에 상기 웨이퍼의 복수의 온도들을 각각 측정하는 단계; 및
    상기 누적 열에너지량으로서 상기 복수의 온도들의 합을 계산하는 단계
    를 포함하는 것인, 베이킹 모듈 인증 방법.
  4. 제1항에 있어서, 복수의 상이한 누적 열에너지량들을 얻기 위해 상기 측정하는 단계를 복수회 반복하는 단계를 더 포함하고, 상기 측정하는 단계가 수행될 때마다, 복수의 열 센서들 중 상이한 열 센서가 사용되는 것인, 베이킹 모듈 인증 방법.
  5. 제4항에 있어서, 상기 결정하는 단계는,
    상기 복수의 상이한 누적 열에너지량들의 표준 편차를 계산하는 단계; 및
    상기 계산된 표준 편차가 미리 규정된 임계치를 통과(pass)하는지 여부를 결정하는 단계
    를 포함하는 것인, 베이킹 모듈 인증 방법.
  6. 제1항에 있어서, 상기 베이킹 모듈은 복수의 열 지역들(heat zones)을 포함하고, 상기 결정하는 단계는,
    상기 측정하는 단계에 기초하여, 상기 열 지역들 각각에 대한 각각의 누적 열에너지량을 결정하는 단계 - 상기 열 지역들 중 제1 열 지역은 최대 누적 열에너지량을 갖고, 상기 열 지역들 중 제2 열 지역은 최소 누적 열에너지량을 가짐 -;
    상기 최대 누적 열에너지량과 상기 최소 누적 열에너지량 사이의 차이를 계산하는 단계; 및
    상기 차이가 미리 규정된 임계치 미만인지 여부를 결정하는 단계
    를 포함하는 것인, 베이킹 모듈 인증 방법.
  7. 제1항에 있어서,
    상기 측정하는 단계는 상기 베이킹 모듈들 각각에 대한 상이한 누적 열에너지량을 산출하기 위해 복수의 베이킹 모듈들에 대해 수행되고,
    상기 결정하는 단계는 상기 상이한 누적 열에너지량이 미리 규정된 임계치보다 작은지 여부를 결정하는 단계를 포함하는 것인, 베이킹 모듈 인증 방법.
  8. 제1항에 있어서,
    상기 베이킹 모듈이 인증되지 않아야 한다는 결정에 응답하여, 상기 베이킹 모듈의 하나 이상의 하드웨어 컴포넌트를 수정하는 단계; 및
    상기 베이킹 모듈의 상기 하나 이상의 하드웨어 컴포넌트가 수정된 후에 상기 측정하는 단계 및 상기 결정하는 단계를 반복하는 단계
    를 더 포함하는, 베이킹 모듈 인증 방법.
  9. 베이킹 모듈을 인증하기 위한 방법에 있어서,
    베이킹 모듈 내부에 배치된 테스트 웨이퍼를 베이킹하는 단계 - 상기 베이킹 모듈은 상기 테스트 웨이퍼와 가열 플레이트 사이에 배치된 복수의 간극 핀들을 포함함 - ;
    하나 이상의 온도 센서를 통해, 상기 베이킹 동안 상기 테스트 웨이퍼에 전달된 누적 열량을 측정하는 단계;
    상기 측정된 누적 열량을 미리 규정된 누적 열량 임계치와 비교하는 단계;
    상기 측정된 누적 열량이 미리 규정된 누적 열량 임계치 내에 있다는 것을 지시하는 상기 비교에 응답하여, 상기 베이킹 모듈이 실제 반도체 제조를 위해 인증되었다고 결정하는 단계;
    상기 측정된 누적 열량이 미리 규정된 누적 열량 임계치 밖에 있다는 것을 지시하는 상기 비교에 응답하여, 상기 베이킹 모듈이 실제 반도체 제조를 위해 인증되지 않았다고 결정하는 단계; 및
    상기 베이킹 모듈이 실제 반도체 제조를 위해 인증되지 않았다는 결정에 응답하여, 온도 균일성의 결여를 보상하기 위해 하나 이상의 상기 간극 핀들의 높이를 조정하는 단계
    를 포함하는, 베이킹 모듈 인증 방법.
  10. 베이킹 모듈을 인증하기 위한 시스템에 있어서,
    테스트 웨이퍼를 가열하도록 구성된 베이킹 모듈 - 상기 베이킹 모듈은 가열 플레이트, 및 상기 테스트 웨이퍼와 상기 가열 플레이트 사이에 배치된 복수의 간극 핀들을 포함함 - ;
    상기 베이킹 모듈 내에 배치된 복수의 열 센서들 - 상기 열 센서들 각각은 상기 베이킹 모듈과 연관된 온도 데이터를 측정하도록 구성됨 -; 및
    동작들을 수행하도록 구성된 제어기
    를 포함하고,
    상기 동작들은,
    기간에 걸쳐 상기 베이킹 모듈의 온도를 상승시키는(ramp up) 동작;
    상기 측정된 온도 데이터에 기초하여, 상기 베이킹 모듈의 온도가 상승됨에 따라, 상기 기간에 걸쳐 상기 베이킹 모듈에 의해 전달된 누적 열량을 결정하는 동작;
    상기 결정된 누적 열량에 기초하여 실제 반도체 제조를 위해 사용 가능한 것으로서 상기 베이킹 모듈을 선택적으로 인증하는 동작; 및
    상기 베이킹 모듈이 실제 반도체 제조를 위해 사용 가능한 것으로서 인증되지 않았다는 결정에 응답하여, 온도 균일성의 결여를 보상하기 위해 하나 이상의 상기 간극 핀들의 높이를 조정하는 동작
    을 포함하는 것인, 베이킹 모듈 인증 시스템.
KR1020170166120A 2017-09-19 2017-12-05 노광 후 베이킹을 위해 사용되는 고온 플레이트를 인증하기 위한 누적 열량 데이터 사용 KR102045359B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/708,791 US11222783B2 (en) 2017-09-19 2017-09-19 Using cumulative heat amount data to qualify hot plate used for postexposure baking
US15/708,791 2017-09-19

Publications (2)

Publication Number Publication Date
KR20190032151A KR20190032151A (ko) 2019-03-27
KR102045359B1 true KR102045359B1 (ko) 2019-11-15

Family

ID=65526948

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170166120A KR102045359B1 (ko) 2017-09-19 2017-12-05 노광 후 베이킹을 위해 사용되는 고온 플레이트를 인증하기 위한 누적 열량 데이터 사용

Country Status (5)

Country Link
US (2) US11222783B2 (ko)
KR (1) KR102045359B1 (ko)
CN (1) CN109524318B (ko)
DE (1) DE102017124794B4 (ko)
TW (1) TWI651791B (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109585483B (zh) * 2018-12-04 2021-05-04 上海华力微电子有限公司 一种处理半导体晶圆的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008034685A (ja) * 2006-07-31 2008-02-14 Dainippon Screen Mfg Co Ltd 熱処理方法および熱処理装置
JP2017146161A (ja) * 2016-02-16 2017-08-24 東京エレクトロン株式会社 熱板の温度測定装置及び熱板の温度測定方法

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4719586A (en) * 1985-11-01 1988-01-12 Moyer Process And Control Company, Inc. Manufacturing process control
US6079550A (en) * 1998-01-08 2000-06-27 Allen Machinery, Inc. Vibratory conveyor
US6654668B1 (en) * 1999-02-16 2003-11-25 Tokyo Electron Limited Processing apparatus, processing system, distinguishing method, and detecting method
DE19907497C2 (de) * 1999-02-22 2003-05-28 Steag Hamatech Ag Vorrichtung und Verfahren zur Wärmebehandlung von Substraten
JP3356115B2 (ja) * 1999-05-20 2002-12-09 ウシオ電機株式会社 レジスト硬化装置
JP2001237053A (ja) * 1999-12-14 2001-08-31 Ibiden Co Ltd 半導体製造・検査装置用セラミックヒータおよび支持ピン
JP3840387B2 (ja) * 2001-09-05 2006-11-01 東京エレクトロン株式会社 温度計測方法、温度計測装置及び基板処理装置
JP2004207314A (ja) * 2002-12-24 2004-07-22 Tokyo Electron Ltd 膜改質の終点検出方法、その終点検出装置及び電子ビーム処理装置
TWI266378B (en) 2003-03-06 2006-11-11 Toshiba Corp Baking apparatus, heat treatment method, manufacturing method of semiconductor device and pattern forming method
US6927835B2 (en) 2003-09-12 2005-08-09 Asml Netherlands B.V. Adaptive thermal control of lithographic chemical processes
CN100433255C (zh) * 2004-08-11 2008-11-12 东京毅力科创株式会社 加热板的温度测定方法和基板处理装置
JP4343151B2 (ja) * 2004-08-11 2009-10-14 東京エレクトロン株式会社 加熱プレートの温度測定方法、基板処理装置及び加熱プレートの温度測定用のコンピュータプログラム
JP2006165516A (ja) * 2004-11-12 2006-06-22 Tokyo Electron Ltd 熱処理板の付着物検出方法,熱処理装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体
JP2007066923A (ja) * 2005-08-29 2007-03-15 Matsushita Electric Ind Co Ltd ウェーハレベルバーンイン方法およびウェーハレベルバーンイン装置
US20080076077A1 (en) * 2006-09-21 2008-03-27 Toshiba America Electronic Components, Inc. Apparatus and method for heating semiconductor wafers with improved temperature uniformity
US8293035B2 (en) * 2006-10-12 2012-10-23 Air Products And Chemicals, Inc. Treatment method, system and product
JP4859229B2 (ja) * 2006-12-08 2012-01-25 東京エレクトロン株式会社 熱処理装置
US8760621B2 (en) * 2007-03-12 2014-06-24 Asml Netherlands B.V. Lithographic apparatus and method
JP5267765B2 (ja) * 2007-11-08 2013-08-21 ウシオ電機株式会社 フィラメントランプおよび光照射式加熱処理装置
EP3573092B1 (en) 2008-05-02 2021-12-22 Applied Materials, Inc. System for non radial temperature control for rotating substrates
CN101764053B (zh) * 2008-12-25 2011-08-17 中芯国际集成电路制造(上海)有限公司 光刻方法
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9201022B2 (en) 2011-06-02 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extraction of systematic defects
US8736084B2 (en) 2011-12-08 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for E-beam in-chip overlay mark
US9097978B2 (en) 2012-02-03 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus to characterize photolithography lens quality
US8837810B2 (en) 2012-03-27 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for alignment in semiconductor device fabrication
US9091930B2 (en) 2012-04-02 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced EUV lithography system
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8954899B2 (en) 2012-10-04 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contour alignment system
US9158209B2 (en) 2012-10-19 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of overlay prediction
US20140123084A1 (en) 2012-11-01 2014-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Improving a Lithography Simulation Model
US20140119638A1 (en) 2012-11-01 2014-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. System, method and computer program product to evaluate a semiconductor wafer fabrication process
US9658118B2 (en) 2012-11-16 2017-05-23 Linear Technology Corporation Precision temperature measurement devices, sensors, and methods
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US20140226893A1 (en) 2013-02-11 2014-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method and System for Image-Based Defect Alignment
CN203103979U (zh) * 2013-02-22 2013-07-31 王海 智能开关电容器
US10274839B2 (en) 2013-03-11 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Two-dimensional marks
US9690212B2 (en) 2013-03-11 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid focus-exposure matrix
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8716841B1 (en) 2013-03-14 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography mask and process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
CN104236620B (zh) 2013-06-14 2018-06-15 富泰华工业(深圳)有限公司 具有温湿度传感器的电子装置及湿度显示方法
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
WO2016056748A1 (ko) 2014-10-10 2016-04-14 주식회사 제우스 기판 처리용 히터장치 및 이를 구비한 기판 액처리 장치
JP6537329B2 (ja) * 2015-04-07 2019-07-03 東京エレクトロン株式会社 温度制御装置、温度制御方法およびプログラム
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US20170221783A1 (en) 2016-01-28 2017-08-03 Leonard TEDESCHI Self-aware production wafers
CN105892243A (zh) * 2016-04-07 2016-08-24 上海华力微电子有限公司 一种热板抽气装置及其温控方法
CN106505018B (zh) * 2016-11-01 2019-04-26 杭州长川科技股份有限公司 吸盘表面温度均匀性检测装置及检测方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008034685A (ja) * 2006-07-31 2008-02-14 Dainippon Screen Mfg Co Ltd 熱処理方法および熱処理装置
JP2017146161A (ja) * 2016-02-16 2017-08-24 東京エレクトロン株式会社 熱板の温度測定装置及び熱板の温度測定方法

Also Published As

Publication number Publication date
DE102017124794B4 (de) 2020-08-06
TW201916203A (zh) 2019-04-16
US20190088471A1 (en) 2019-03-21
TWI651791B (zh) 2019-02-21
DE102017124794A1 (de) 2019-03-21
US11222783B2 (en) 2022-01-11
CN109524318A (zh) 2019-03-26
CN109524318B (zh) 2021-09-28
US20220139698A1 (en) 2022-05-05
KR20190032151A (ko) 2019-03-27

Similar Documents

Publication Publication Date Title
KR101404349B1 (ko) 레지스트 코팅 웨이퍼들의 열처리 동안의 인라인 모니터링및 제어를 위한 방법
TWI390364B (zh) 即時動態臨界尺寸控制方法
US20050167514A1 (en) Adaptive real time control of a reticle/mask system
US6834158B1 (en) Pinhole defect repair by resist flow
JP2006228816A (ja) 熱処理板の温度設定方法,熱処理板の温度設定装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体
US6912438B2 (en) Using scatterometry to obtain measurements of in circuit structures
US7334202B1 (en) Optimizing critical dimension uniformity utilizing a resist bake plate simulator
US20120031892A1 (en) Heat Treatment Method, Recording Medium Having Recorded Program for Executing Heat Treatment Method, and Heat Treatment Apparatus
US20080079934A1 (en) Method of real time dynamic cd control
JP2003528454A (ja) スピンコーティングの際に臨界サイズを二次元適応プロセス制御する方法
US20220139698A1 (en) Using Cumulative Heat Amount Data To Qualify Hot Plate Used For Postexposure Baking
Steele et al. Characterizing post-exposure bake processing for transient-and steady-state conditions, in the context of critical dimension control
JPH10199782A (ja) 投影露光装置
JP2004273586A (ja) パターン形成方法および該パターン形成方法を用いた半導体装置の製造方法
US6641963B1 (en) System and method for in situ control of post exposure bake time and temperature
US6643604B1 (en) System for uniformly heating photoresist
JP5186264B2 (ja) 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US7436489B2 (en) Device for testing an exposure apparatus
Nordquist et al. Inter-and intramembrane resist critical dimension uniformity across a SCALPEL mask
JP2008004591A (ja) 基板の処理方法、プログラム、コンピュータ読み取り可能な記録媒体及び基板の処理システム
KR100672703B1 (ko) 반도체 소자의 제조방법
Hashim et al. Resist Uniformity Evaluation through Swing Curve phenomena
Davis et al. A numerical/experimental investigation of microcontamination in a rotating disk chemical vapor deposition reactor
TW200418114A (en) Control method of critical dimension and monitoring method of the hot plate temperature and the thermal uniformity thereof
Kozman Characterization and improvement of temperature and critical dimension uniformity in a microlithography cluster: an analytical and experimental study

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant