TW201910759A - X光散射測量的方法 - Google Patents

X光散射測量的方法 Download PDF

Info

Publication number
TW201910759A
TW201910759A TW106142712A TW106142712A TW201910759A TW 201910759 A TW201910759 A TW 201910759A TW 106142712 A TW106142712 A TW 106142712A TW 106142712 A TW106142712 A TW 106142712A TW 201910759 A TW201910759 A TW 201910759A
Authority
TW
Taiwan
Prior art keywords
semiconductor
test key
array
fins
ray
Prior art date
Application number
TW106142712A
Other languages
English (en)
Other versions
TWI743263B (zh
Inventor
馮世鑫
王仲偉
黃忠利
林逸宏
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201910759A publication Critical patent/TW201910759A/zh
Application granted granted Critical
Publication of TWI743263B publication Critical patent/TWI743263B/zh

Links

Classifications

    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B6/00Apparatus or devices for radiation diagnosis; Apparatus or devices for radiation diagnosis combined with radiation therapy equipment
    • A61B6/58Testing, adjusting or calibrating thereof
    • A61B6/582Calibration
    • A61B6/583Calibration using calibration phantoms
    • A61B6/584Calibration using calibration phantoms determining position of components of the apparatus or device using images of the phantom
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/22Connection or disconnection of sub-entities or redundant parts of a device in response to a measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B6/00Apparatus or devices for radiation diagnosis; Apparatus or devices for radiation diagnosis combined with radiation therapy equipment
    • A61B6/08Auxiliary means for directing the radiation beam to a particular spot, e.g. using light beams
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B6/00Apparatus or devices for radiation diagnosis; Apparatus or devices for radiation diagnosis combined with radiation therapy equipment
    • A61B6/48Diagnostic techniques
    • A61B6/483Diagnostic techniques involving scattered radiation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J5/00Radiation pyrometry, e.g. infrared or optical thermometry
    • G01J5/10Radiation pyrometry, e.g. infrared or optical thermometry using electric radiation detectors
    • G01J5/20Radiation pyrometry, e.g. infrared or optical thermometry using electric radiation detectors using resistors, thermistors or semiconductors sensitive to radiation, e.g. photoconductive devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01TMEASUREMENT OF NUCLEAR OR X-RADIATION
    • G01T1/00Measuring X-radiation, gamma radiation, corpuscular radiation, or cosmic radiation
    • G01T1/16Measuring radiation intensity
    • G01T1/24Measuring radiation intensity with semiconductor detectors
    • G01T1/243Modular detectors, e.g. arrays formed from self contained units
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B6/00Apparatus or devices for radiation diagnosis; Apparatus or devices for radiation diagnosis combined with radiation therapy equipment
    • A61B6/44Constructional features of apparatus for radiation diagnosis
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J5/00Radiation pyrometry, e.g. infrared or optical thermometry
    • G01J5/10Radiation pyrometry, e.g. infrared or optical thermometry using electric radiation detectors
    • G01J5/20Radiation pyrometry, e.g. infrared or optical thermometry using electric radiation detectors using resistors, thermistors or semiconductors sensitive to radiation, e.g. photoconductive devices
    • G01J2005/202Arrays
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10116X-ray image
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Medical Informatics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Molecular Biology (AREA)
  • Pathology (AREA)
  • General Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Biomedical Technology (AREA)
  • Public Health (AREA)
  • Radiology & Medical Imaging (AREA)
  • Biophysics (AREA)
  • Heart & Thoracic Surgery (AREA)
  • Optics & Photonics (AREA)
  • Surgery (AREA)
  • Animal Behavior & Ethology (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Veterinary Medicine (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • General Engineering & Computer Science (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

X光散射測量的方法包含形成測試鍵。測試鍵的形成包含形成第一複數個半導體條,將第一複數個半導體條切割成第二複數個半導體條之陣列,陣列的每一列由第一複數個半導體條中的一條形成,在第二複數個半導體條之間的凹陷中形成隔離區,以及將隔離區凹陷。第二複數個半導體條的頂部凸出高於隔離區而形成半導體鰭片,其形成鰭片陣列。此方法還包含將X光束投射至測試鍵上,以及從測試鍵散射的散射X光束得到繞射圖案。

Description

X光散射測量的方法
本發明實施例是關於積體電路製造技術,特別是有關於積體電路中的部件(feature)參數之X光散射測量的方法。
隨著半導體產業朝16奈米或以下之節點技術的方向發展,製程與度量衡(metrology)都變得愈來愈複雜且愈來愈具挑戰性。精確且精準地監控關鍵重要部件對於保持良率是必要的,且對於幫助改良製程及增強裝置效能而言也是重要的。通常需要多種不同的度量衡類型且互補於現今的鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)的特性,且沒有任何一個度量衡類型可同時滿足所有的量測需求。今日最廣為使用的度量衡是光學臨界尺寸(optical critical-dimension,OCD)度量衡以及臨界尺寸掃描式電子顯微鏡(CD-scanning electron microscopy,CD-SEM)。然而,隨著部件的尺寸不斷縮小,產業界也密集地評估以X光為基礎的散射測量(X-ray-based scatterometry)度量衡,例如臨界尺寸小角度X光散射測量(CD-small angle X-ray scatterometry,CD-SAXS),其使用遠小於部件的波長。
光學臨界尺寸(OCD)度量衡藉由橢圓偏振技術 (ellipsometry)或反射量測術(reflectometry)或前述兩者,使用寬頻帶(broadband)的光源,其波長一般大約在200奈米至1000奈米,以測量平均臨界尺寸(CD)、輪廓及材料性質。光學臨界尺寸(OCD)度量衡是快速且非破壞性的,且得到高可信的平均臨界尺寸。然而,光學臨界尺寸(OCD)度量衡也有數個缺點,其需要準確度的驗證及校正的基準,且無法提供臨界尺寸的變異資訊。更有甚者,光學臨界尺寸(OCD)的結果高度依賴模式(model),且易受光學功能性質的變化影響。在不同臨界尺寸參數之間的光譜響應(spectra response)的高相關性也給光學臨界尺寸(OCD)度量衡帶來困難。另一方面,臨界尺寸掃描式電子顯微鏡(CD-SEM)不需要參考基準,且可提供變異資訊。不需要模式且光學性質的改變不會影響CD-SEM量測的準確度。更重要的是,CD-SEM對於局部表面是敏感的,且埋入的部件與量測無關連。然而,CD-SEM難以量測三維(3D)輪廓,且CD-SEM的解析度不夠小。CD-SEM也需要局部量測的多次取樣才能得到高可信的平均臨界尺寸。
X光散射測量,例如臨界尺寸小角度X光散射(CD-SAXS)亦被認為是奈米尺寸部件之具有潛力的度量衡解決方案。X光散射測量的原理是根據古典X光散射,其對電子密度的差異對比敏感,且可避免有關於光學性質的問題。一般而言,X光散射測量的模式通常相較於OCD的模式更耐用,且很少有參數的互相關聯性。X光散射測量也可測量三維輪廓,且具有高可信的平均臨界尺寸的準確度及精準度。X光散射測量也提供變異資訊,例如來自於德拜-沃勒(Debye-Waller)式加 寬繞射峰之線寬粗糙度(line-width roughness,LWR)或線邊緣粗糙度(line-edge roughness,LER)。然而,X光散射測量也具有其自身的問題。舉例而言,X光的光點尺寸,其為投射至樣品上的X光束的尺寸,一般而言X光的光點尺寸大且無法縮小來配合測試鍵的尺寸。另一方面,由於設計規則的限制,測試鍵無法設計成大到足以配合X光束的光點尺寸。這導致使用X光散射測量的量測需耗費相當多時間,有時可能達數小時或更久,或甚至無法量測。
本發明的一些實施例提供X光散射測量的方法,其包含形成測試鍵。形成測試鍵包含形成第一複數個半導體條,且將第一複數個半導體條切割成第二複數個半導體條的陣列,其中陣列的每一列是由第一複數個半導體條中的一條所形成,在第二複數個半導體條之間的凹陷中形成隔離區,以及將隔離區凹陷,其中第二複數個半導體條的頂部凸出高於隔離區,以形成半導體鰭片,且半導體鰭片形成鰭片陣列。此方法還包含將X光束投射在測試鍵上,以及從測試鍵散射的散射X光束得到繞射圖案。
本發明的一些實施例提供X光散射測量的方法,其包含形成半導體鰭片的陣列,其中半導體鰭片具有相同長度,且此陣列具有複數列及複數行,在半導體鰭片上方形成額外部件,其中額外部件包含至少複數行,且每一行都在一行半導體鰭片上方,從半導體鰭片的陣列及額外部件得到X光繞射圖案,以及從X光繞射圖案決定額外部件的尺寸。
本發明的一些實施例提供X光散射測量的方法,其包含形成測試鍵。形成測試鍵包含形成半導體鰭片的陣列,其中半導體鰭片具有相同的長度,且陣列具有複數行及複數列,在半導體鰭片上方形成複數個閘極結構,其中這些閘極結構大致上均勻地分布於陣列各處,且這些閘極結構的長度方向垂直於半導體鰭片的長度方向,以及蝕刻半導體鰭片未被這些閘極結構覆蓋的部分,以形成延伸至隔離區內的凹陷。此方法還包含從測試鍵得到X光繞射圖案,以及從X光繞射圖案決定半導體鰭片及這些閘極結構的尺寸。
10‧‧‧晶圓
20‧‧‧基底
22‧‧‧襯墊氧化物
24‧‧‧硬遮罩
26‧‧‧溝槽
28‧‧‧半導體條
30、130、230‧‧‧測試鍵
30’‧‧‧子測試鍵
32‧‧‧淺溝槽隔離區
36‧‧‧半導體鰭片
38‧‧‧入射X光束
40‧‧‧繞射X光束
42‧‧‧光點
44、46‧‧‧虛線
47‧‧‧矩形陣列
50‧‧‧隨機虛設圖案區
52‧‧‧子區域
53‧‧‧區域
54A‧‧‧虛設閘極氧化物
54B‧‧‧虛設閘極電極
54C‧‧‧硬遮罩
56‧‧‧閘極結構
58‧‧‧閘極間隙壁
60、64‧‧‧凹槽
66‧‧‧層間介電層
68‧‧‧界面層
70‧‧‧高介電常數介電層
72‧‧‧金屬層
200‧‧‧流程圖
202、204、206、208‧‧‧步驟
A、B‧‧‧偵測器
H1‧‧‧高度
L1、L2、L3、LA1‧‧‧長度
P1、P2、P3、P4、P5‧‧‧間距
S1、S2、S3‧‧‧間隔
W1、W3、WA1‧‧‧寬度
α‧‧‧方位角
β、γ‧‧‧入射角
θ‧‧‧角度
根據以下的詳細說明並配合所附圖式可更加理解本發明實施例的觀點。應注意的是,根據本產業的標準慣例,圖式中的各種部件並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。
第1A、1B、2、3A、3B、3C及4圖繪示根據本發明一些實施例形成測試鍵(test key)的各個中間階段的剖面圖、上視圖及透視圖,測試鍵包含具有線端切口(line-end cut)的半導體鰭片。
第5A圖繪示根據本發明一些實施例將X光束投射至測試鍵上以得到繞射圖案的示意圖。
第5B、5C及5D圖分別繪示根據本發明一些實施例之入射光及繞射光的透視圖、上視圖及側視圖。
第6圖繪示根據本發明一些實施例之示範繞射圖案。
第7圖繪示根據本發明一些實施例之具有符合X光點的形 狀及尺寸的輪廓線(contour)之測試鍵的示意圖。
第8圖繪示根據本發明一些實施例之包含陣列及位在陣列之間的隨機圖案(random-pattern)區的複合測試鍵之示意圖。
第9圖繪示根據本發明一些實施例之在示範隨機圖案區中的鰭片佈局的示意圖。
第10圖繪示根據本發明一些實施例之在示範擬隨機圖案(pseudo-random-pattern)區中的鰭片佈局的示意圖。
第11圖繪示根據本發明一些實施例之包含閘極結構形成在半導體鰭片上的測試鍵之示意圖。
第12圖繪示根據本發明一些實施例之第11圖所示的測試鍵的一部分的透視圖。
第13圖繪示根據本發明一些實施例之包含凹陷形成在覆蓋半導體鰭片的層間介電層中的測試鍵之示意圖。
第14圖繪示根據本發明一些實施例之第13圖所示的測試鍵的一部分的剖面示意圖。
第15圖繪示根據本發明一些實施例之形成測試鍵的製程流程圖。
以下的揭露內容提供許多不同的實施例或範例,以實施本發明實施例所提供標的之不同部件(feature)。以下敘述各個元件及其排列方式的特定範例,以簡化本發明實施例。當然,這些敘述僅作為範例,並非用以限定本發明實施例。舉例而言,若是以下敘述第一部件形成於第二部件之上或上方, 即表示其可能包含第一部件與第二部件是直接接觸的實施例,亦可能包含有附加部件形成於第一部件與第二部件之間,而使第一部件與第二部件可能未直接接觸的實施例。另外,本發明實施例中的不同範例可能重複使用相同的參考符號及/或標記。這些重複是為了簡化與清晰的目的,並非用以表示所討論的不同實施例及/或結構之間的關係。
此外,在此可以使用與空間相關用詞,例如「在...下方」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,以便於描述圖式中一個元件或部件與另一個(些)元件或部件之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可能會有不同方位(旋轉90度或其他方位),並且在此使用的空間相關用詞也可依此做同樣地解釋。
根據各種示範實施例,提供X光散射測量的測試鍵(test key)(測試樣品)以及使用測試鍵量測部件參數(feature parameter)的方法,部件參數例如為積體電路中的尺寸、厚度、深度及側壁角度。根據一些實施例說明形成測試鍵的各個中間階段。以下敘述一些實施例的變化。在各個圖式及所繪示的實施例中,相似的元件係使用相似的標號標示。
第1A、1B、2、3A、3B、3C、4及5圖繪示根據本發明一些實施例形成測試鍵的各個中間階段,以及使用測試鍵進行X光散射測量的剖面圖、上視圖及透視圖。測試鍵包含半導體鰭片,其可用於形成鰭式場效電晶體(FinFET)。第1A、1B、2、3A、3B、3C、4及5圖所示的步驟也示意性地反映在第15圖 所示的製程流程圖中。
第1A圖繪示晶圓10的剖面示意圖,其包含半導體基底20。半導體基底20可以是主體基底(bulk substrate)或絕緣體上的半導體(semiconductor-on-insulator)基底。根據本發明的一些實施例,半導體基底20是由半導體材料形成,半導體材料可選自於矽、矽鍺、碳化矽、鍺以及三五族化合物(III-V compound)半導體材料,例如GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及其他類似的半導體材料,但不限於上述材料。基底20可輕摻雜P型或N型雜質。
在半導體基底20上方形成襯墊氧化物(pad oxide)22及硬遮罩24。根據本發明之一些實施例,襯墊氧化物22由氧化矽形成,其可藉由將半導體基底20的表面層氧化而形成。硬遮罩24可由氮化矽、氮氧化矽、碳化矽、碳氮化矽(silicon carbo-nitride)或其他類似材料形成。根據本發明之一些實施例,硬遮罩24由氮化矽形成,例如使用低壓化學氣相沉積法(Low-Pressure Chemical Vapor Deposition,LPCVD)形成。根據本發明之另一些實施例,硬遮罩24是經由矽的熱氮化(thermal nitridation)、電漿增強化學氣相沉積法(Plasma Enhanced Chemical Vapor Deposition,PECVD)或電漿陽極氮化(plasma anodic nitridation)形成。
將硬遮罩24圖案化。根據本發明之一些實施例,硬遮罩24的圖案化包含形成心軸(mandrel)(圖未示,其可以是平行的非晶矽條),在心軸上形成順應性的間隔層(圖未示),執行非等向性蝕刻以移除間隔層的水平部分,使得間隔層的垂直 部分形成垂直間隙壁,將垂直間隙壁切割成平行條,以及使用平行的間隙壁條作為蝕刻遮罩,以蝕刻硬遮罩24。
第1B圖繪示第1A圖所示之結構的上視圖。根據本發明之一些實施例,硬遮罩24具有一致的間距(pitch),即意指第1B圖中所示的間距P1及P2彼此相等。然而,由於製造過程造成的變異,可能發生間距偏移(pitch walk),其意指間距P1及P2偏離設計值,且可能變成互相有差異。
請再參照第1A圖,硬遮罩24可用作蝕刻遮罩以蝕刻半導體基底20,進而形成半導體條28,且溝槽26將半導體條28互相分開。此各別的步驟顯示於第15圖所示的流程圖中的步驟202。使用特定的技術製造晶圓10中的積體電路,例如45奈米技術、18奈米技術或其他類似的技術。此技術包含多個因素,其包含想要的臨界尺寸、生產工具的精準度等。相應的技術具有一套設計規則,控制各種可用於形成積體電路的參數。舉例而言,設計規則可具體說明每一種類型的部件,例如半導體鰭片的最大圖案密度、最大尺寸、最大面積、最小尺寸、最小間隔等。
在第1A及1B圖中,若半導體條28是用於形成半導體鰭片,如果半導體條28因為一些製程問題而不允許太長,則可能會違反設計規則。因此,將長的硬遮罩24與半導體條28切割(例如經由蝕刻)成較短的條狀,如第2圖所示。此外,也移除鰭片的線端部分,稱作線端切割(line-end cut)。此各別的步驟顯示於第15圖所示的流程圖中的步驟204。溝槽26因此延伸於縮短的半導體條28之間。根據本發明之一些實施例,在相鄰的 縮短的半導體條28之間的間隔S1是使用製造技術能達成的最小間隔,其例如約100奈米或約200奈米,取決於製造技術而定。將長的半導體條28切割成較短的條狀是有益的,其能夠增加所產生的測試鍵30(如第5A圖所示)尺寸之總面積,而不會違反設計規則。
接著,請參照第3A、3B及3C圖,形成介電區域及/或材料32填入將半導體條28隔開的溝槽26(第2圖)。此各別的步驟顯示於第15圖所示的流程圖中的步驟206。第3A圖繪示自第3B圖中含有3A-3A線的平面所得到之結構的剖面示意圖。第3C圖繪示自第3B圖中含有3C-3C線的平面所得到之結構的剖面示意圖。根據本發明之一些實施例,介電區域32包含內襯氧化物或氮化物,以及位在內襯氧化物或氮化物上方的介電材料(未分開繪示)。
將介電材料填入溝槽26的剩餘部分,產生第3A圖所示的結構。介電材料可由氧化矽、碳化矽、氮化矽或前述多層形成。介電材料的形成方法可選自可流動的化學氣相沉積法(Flowable Chemical Vapor Deposition,FCVD)、旋轉塗佈法(spin-on coating)、化學氣相沉積法(Chemical Vapor Deposition,CVD)、原子層沉積法(Atomic Layer Deposition,ALD)、高密度電漿化學氣相沉積法(High-Density Plasma Chemical Vapor Deposition,HDPCVD)、低壓化學氣相沉積法(LPCVD)及其他類似的方法。
接著執行平坦化,例如化學機械研磨(Chemical Mechanical Polish,CMP)或機械研磨(mechanical grinding),直 到露出硬遮罩24為止。介電材料的剩餘部分被稱作淺溝槽隔離(Shallow Trench Isolation,STI)區32。硬遮罩24可用作化學機械研磨(CMP)停止層,且因此硬遮罩24的頂面與淺溝槽隔離(STI)區32的頂面大致共平面。
接著移除硬遮罩24。如果硬遮罩24是由氮化矽形成,可在濕式製程中使用熱磷酸(H3PO4)作為蝕刻劑移除硬遮罩24。接著,如第4圖所示,將淺溝槽隔離區32凹陷,且可在相同製程中移除墊(pad)層22(第3C圖)。此各別的步驟顯示於第15圖所示的流程圖中的步驟208。作為凹陷的結果,半導體條28的頂部凸出高於淺溝槽隔離區32的頂面,且以下將稱作半導體鰭片36。原來的半導體條28之位在淺溝槽隔離區32中的部分仍稱作半導體條28。可使用等向性的蝕刻製程執行淺溝槽隔離區32的凹陷,其可以是乾式蝕刻製程或濕式蝕刻製程。根據本發明之一些實施例,使用乾式蝕刻方法執行淺溝槽隔離區32的凹陷,其中使用包含NH3及NF3的製程氣體。根據本發明另一些實施例,使用濕式蝕刻方法執行淺溝槽隔離區32的凹陷,其中蝕刻劑溶液是稀釋的HF溶液。
第5A圖示意性地繪示根據以上所示的示範製程在晶圓10中所形成的測試鍵30。測試鍵30包含複數個半導體鰭片36,其包含以交替的圖案排列的間距P1及P2。根據本發明之一些實施例,間距P1及P2是設計成(目的是要)彼此相等,因此半導體鰭片36具有一致的間距。半導體鰭片36可形成陣列。陣列測試鍵的墊(pad)尺寸可大於X光點的尺寸,使得繞射強度圖案完全來自測試鍵的墊圖案。根據一些實施例,陣列墊(array pad) 可以在每個方向上大於100微米,如果間距小於100奈米,陣列墊可包含大約1000個以上的行或列。
根據本發明之一些實施例,在晶圓10的每一個晶粒(die)(也可稱作晶片(chip))中形成測試鍵30。因此,藉由測量測試鍵30,也可得到遍及整個晶圓10所量測的部件之參數的均勻性(或非均勻性)。
可在形成用於實際的鰭式場效電晶體(FinFET)的半導體鰭片時,同時形成測試鍵30。因此,測試鍵30中的半導體鰭片36的部件參數(包含間距、寬度、臨界尺寸、高度等)反映出用於形成實際的鰭式場效電晶體(FinFET)的鰭片之部件參數。因此,藉由測量測試鍵30中的鰭片36的部件參數,可發現用於形成實際的鰭式場效電晶體(FinFET)的鰭片之部件參數。此方法需要半導體鰭片36的部件參數盡可能地接近用於形成實際的鰭式場效電晶體(FinFET)的鰭片之部件參數。
根據本發明之一些實施例,藉由將X光束38投射至測試鍵30上來執行部件參數的測量,並得到散射X光束40的繞射圖案。如果X光束38以垂直於晶圓頂面的方向投射在晶圓上,X光束38可具有圓形的光點。根據本發明之一些實施例,X光束38以小的入射角β投射在晶圓10上,入射角β可小於約30度。結果,X光束38的光點42被拉長,且可具有橢圓形的形狀。光點42較佳為落在測試鍵30的邊界之內,且不延伸至測試鍵30以外的區域。否則,反射光束40將不利地包含由測試鍵30以外的部件所產生的信號。根據本發明之一些實施例,測試鍵30是矩形的陣列,其具有長度L1及寬度W1,且長度L1等於寬度W1 或大於寬度W1的1倍、2倍、5倍或更多倍,取決於光點尺寸及入射角β。
用在X光散射測量中的X光束38的光點尺寸通常相對較大,且可大於傳統的OCD目標,其大約是50微米乘以50微米。兩個原因如下,第一,由於X光相當具有穿透性,很難有鏡面可將X光束聚焦成小尺寸。第二,除非有高亮度的X光光源例如同步加速器輻射(synchrotron radiation),X光散射與材料的截面一般較小,且繞射強度較弱,且因此需要很長的時間例如數小時至數天,以得到繞射圖案來重建三維圖案,除非量測的目標夠大。較弱的光強度也導致較低的信號雜訊比(Signal-to-Noise Ratio,SNR),其與光強度的平方根成正比。因此,為縮短測試時間及增加信號雜訊比(SNR),較佳為增加測試鍵的尺寸。然而,增加測試鍵的尺寸可能會導致違反設計規則。為了解決此問題,根據本發明之一些實施例,如上所述,採用其他方式,將延伸通過整體長度L1的長半導體鰭片斷裂成較短的半導體鰭片。每一個半導體鰭片36的長度L2可設計為鰭片的最大可容許的長度,其大約是數微米,而不會違反設計規則。藉由將長的半導體鰭片斷裂,可擴大測試鍵30的面積至大於X光束的光點尺寸,而不會違反設計規則。作為較大測試鍵的結果,可縮短測試時間且可得到夠好的信號雜訊比(SNR)。舉例而言,已知繞射強度(反映如第6圖所示的條紋亮度)大約跟測試鍵的長度平方乘以寬度平方成正比。因此,加大測試鍵的尺寸可大幅地增強繞射強度。那些切割可使得繞射圖案與未切割的繞射圖案不同。若切割是週期性的,將對繞射峰產生一些 貢獻。然而,由於結構的間距大約是10奈米到100奈米,與大約數微米之切割的週期性非常不同,那些繞射峰會與使用者關注的繞射峰很好地分開。若切割為隨機分布,如果切割的密度小,其繞射貢獻(diffraction contribution)會如同背景雜訊一樣。因此,雖然那些切割可能會帶來小的繞射圖案變化,其效果非常輕微。
分析繞射圖案以得到部件參數。舉例而言,第6圖繪示範例倒空間圖(Reciprocal Space Map,RSM),藉由採用由樣品得到的複數個繞射圖案,建構三維倒空間(3D reciprocal space)的截面,例如從XZ平面。由於從測試鍵30散射的X光束之干涉而產生繞射圖案,每一個X光束具有不同的入射角β(也可使用如第5B及5D圖所示的角度γ來界定入射角)或方位角(azimuth)α。第5B、5C及5D圖繪示入射角β(或γ)及方位角α的定義,其中入射角是入射光38與晶圓平面之間的角度(角度β),或是入射光38與Z方向之間的角度。如第5B及5C圖所示,方位角α是入射線所在的垂直面與垂直平面之間的旋轉角度,且垂直平面由X軸(與鰭片的長度方向平行的X軸)和Z軸形成。
第6圖可透露半導體鰭片36的一些部件參數,且以下將敘述由第6圖可得到的一些示範部件參數。應理解的是,分析散射X光束的繞射圖案以得到部件參數是本技術領域已知的技術。舉例而言,第6圖所示的繞射圖案顯示以規則圖案排列的亮條紋。規則性是由測試鍵30中部件的週期性所造成。可畫出直線以連結亮條紋的中心,直線以虛線44顯示。直線(虛線)44具有與(2π/間距)成正比的距離,其中間距是如第4圖中所 標記之半導體鰭片36的間距。因此,透過繞射圖案,可決定半導體鰭片的高度H1(參照在第6圖左側的鰭片36的高度)。也可在繞射圖案上繪製斜線46,其中在斜線46之間形成的角度為2θ,其為第4圖所示之半導體鰭片36的側壁之傾斜角θ的兩倍。因此,可自繞射圖案決定半導體鰭片36的側壁之傾斜角θ(第4圖)。此外,平行的直線46具有與(2π/高度)成正比的距離,其中高度是如第4圖中所標記之半導體鰭片36的鰭片高度H1。因此,透過繞射圖案,可決定半導體鰭片的高度。
可從反射或透射的X光散射光束得到繞射圖案。第5A圖中的繞射圖案是由反射的X光束40所得到,且此各別的量測方式被稱作掠角入射(grazing incidence)。掠角入射方式顯示於第5D圖,其中偵測器A是用以偵測繞射光40,且偵測器A位在晶圓的相同側。根據本發明之另一些實施例,可從穿透晶圓10的散射X光束得到繞射圖案,且繞射圖案是從晶圓10的後方所得到。且此各別的量測方式被稱作透射方式。在第5D圖中也顯示透射方式,其中偵測器B是用以偵測繞射光40,且偵測器B是位在與入射光38相反的晶圓的另一側。
另外,在第5A圖所示的示範實施例中,將入射X光束38投射在半導體鰭片36的長度方向上,即第5A圖中的X方向。根據本發明另一實施例,將入射X光束38投射在半導體鰭片36的寬度方向上(例如第5A圖中的Y方向)。為了在單一繞射圖案的影像中得到鰭片36的高度及間距,可將入射X光束38投射在鰭片36的長度方向上,如第6圖所示。然而,如果將入射X光束38投射在鰭片36的寬度方向上,則需要多個繞射圖案的影 像來透露鰭片的高度,這些影像中的每一個採用具有彼此稍微不同的入射角β(第5A圖)之各自的X光束。將繞射圖案的多個影像結合以得到部件參數,例如間距、鰭片寬度、高度、側壁的傾斜角等。也可建立模式以幫助決定上述參數。
根據本發明之一些實施例,如第5A圖所示的測試鍵30從上視圖來看為矩形。根據本發明另一些實施例,測試鍵30可具有符合X光束的光點之加長形狀的其他形狀。舉例而言,如第7圖所示,測試鍵30具有相似於橢圓形的加長形狀。如第7圖所示的測試鍵30可設計為包含複數個矩形陣列47,每一個矩形陣列47包含複數個半導體鰭片36。全部的測試鍵30具有X光束的光點形狀的輪廓線,使得X光束38的整個光點落入測試鍵30內,且將測試鍵30位在X光束38的光點以外的部分最小化。這會讓X光的光點之使用最大化,同時將測試鍵30的尺寸最小化。
第8圖繪示複合測試鍵30的佈局,其包含複數個測試鍵30’,在本文中也可被稱作子測試鍵(sub-test-key)。每一個子測試鍵30’可具有如第4和5圖中所示之相同設計,且包含形成陣列的複數個半導體鰭片。子測試鍵30’被隨機虛設圖案區(或稱隨機圖案區)50互相分開,隨機虛設圖案區50包含在子測試鍵30’之間的間隔,以及其他圍繞子測試鍵30’的區域。在測量過程中,入射X光束38可形成光點42覆蓋複數個子測試鍵30’和位在子測試鍵30’之間的隨機虛設圖案區50。因此,複合測試鍵30可用作單一測試鍵。
在子測試鍵30’內的區域具有重複的圖案例如以第 5A圖所示做為範例之陣列。因此,由子測試鍵30’取得的信號將形成繞射圖案,從繞射圖案可取得部件參數。另一方面,以適當設計的密度隨機地放置半導體鰭片填入隨機虛設圖案區50,以避免負載效應(loading effect)及其他製程問題。藉由複製子測試鍵30’以具有較大的複合測試鍵30,且將隨機圖案填入圍繞子測試鍵30’的區域,複合測試鍵30的尺寸甚至可設計成比第5A圖所示的單一圖案的測試鍵30還大,而不會違反設計規則。此外,那些隨機虛設圖案不是週期性的,且因此不會造成顯著的繞射峰。來自那些隨機虛設圖案的繞射就如同雜訊背景,且不會影響模式的準確度。因此,捕捉繞射圖案的影像之測試時間可更進一步的縮短,而不會影響準確度。
第9圖繪示在示範隨機虛設圖案區50中的隨機圖案。所繪示的區域52可以是第8圖所示的子區域(sub-region)52的其中之一,結合子區域52以形成隨機虛設圖案區50。根據本發明之一些實施例,複合測試鍵30的設計包含在整個複合測試鍵30的區域中有意地設計半導體鰭片36,使其具有一致的間距,以及從隨機虛設圖案區50中隨機地移除一些半導體鰭片,但不是從子測試鍵30’中移除。移除半導體鰭片的數量及位置是隨機的,如第9圖所示。作為比較,隨機虛設圖案區50的設計相似於具有重複圖案的空隙之陣列,且隨機地決定是否在陣列的每一個空隙中放置半導體鰭片。由於可在如第2圖所示的相同製程中蝕刻不想要的半導體鰭片,使用上述方式來設計和形成在隨機虛設圖案區50中的鰭片可簡化製造過程,在上述製造過程中長的鰭片被切割成較短的鰭片。
根據本發明另一些實施例,在隨機虛設圖案區50中的鰭片的尺寸、間距及位置具有隨機圖案,且在鰭片與鰭片之間可改變。另外,在隨機虛設圖案區50中的鰭片可與子測試鍵30’中的鰭片不同。
根據本發明另一些實施例,隨機虛設圖案區50具有偽隨機圖案(pseudo-random pattern),如第10圖所示,其表示在複數個區域52中的鰭片36的圖案是隨機的,如前面段落所述。然而,隨機虛設圖案區50是由彼此相同的重複隨機圖案區52形成。為了維持隨機圖案的性質,每一個隨機圖案區52的長度LA1及寬度WA1應遠大於各自的間距,以避免其繞射峰與測試鍵的繞射峰重疊。根據本發明之一些實施例,隨機圖案區52的寬度WA1可大於間距P1(或間距P2)的10倍,其中間距P1(或間距P2)是測試鍵30的鰭片間距。藉由將隨機圖案區52設計成偽隨機,設計過程容易且不會犧牲繞射圖案的品質。
請再參照第8圖,應理解的是,X光束38的光點42覆蓋子測試鍵30’及隨機虛設圖案區50兩者,且因此反射的X光束40包含來自隨機虛設圖案區50之散射信號。然而,由於隨機虛設圖案區50中的鰭片為隨機的,來自隨機虛設圖案區50之散射信號將在繞射圖案中反映為白色雜訊,且因此將不會影響測量結果。
在形成虛設閘極和使半導體鰭片凹陷之後,根據本發明的一些實施例之測試鍵也可用以測量凹陷的寬度、間距及深度。請參照第11圖,在第5A圖所示的測試鍵上形成複數個閘極結構56。所形成的結構也是測試鍵,其可被稱為測試鍵 130。閘極結構56形成為加長條狀,其長度方向垂直於半導體鰭片36的長度方向的。閘極結構56跨越半導體鰭片36,且每一個閘極結構56從測試鍵130的一端延伸至相對的另一端。此外,閘極結構56互相平行,且可具有間距P3。藉由施加至測試鍵130的相同標記(token),閘極結構56可切為片段,以避免違反設計規則,且不影響分析的繞射圖案。
第12圖繪示第11圖所示結構的一部分之透視圖,其中所示的部分為在第11圖的區域53內。根據本發明之一些實施例,如第12圖所示,每一個閘極結構56皆包含虛設閘極氧化物54A、虛設閘極電極54B及硬遮罩54C。虛設閘極電極54B可由多晶矽(polysilicon)形成,且硬遮罩54C可由氮化矽形成。在虛設閘極氧化物54A、虛設閘極電極54B及硬遮罩54C的兩側形成閘極間隙壁58。閘極結構56覆蓋半導體鰭片36的一些部分。蝕刻半導體鰭片36之未被覆蓋的部分以形成凹陷60,凹陷60延伸至淺溝槽隔離區32內。
如第11及12圖所示,可將X光束38投射在晶圓10上,且從散射的X光束產生繞射圖案,其可以是反射或透射的X光束。從繞射圖案可發現凹陷60的寬度、深度、鄰近度(proximity)及間距。此外,也可發現閘極結構56的高度、寬度及間距。可了解的是,可能需要多個繞射圖案的影像以得到上述參數。此外,如果入射X光束38平行於X方向(當由上視觀察晶圓10時),單一繞射圖案的影像可透露凹陷60的寬度、深度及間距,並且需要使用不同入射角或方位角得到多個影像,以得到閘極結構56的高度、寬度及間距,以及凹陷60的鄰近度 (proximity)。反之,如果入射X光束38平行於Y方向(當由上視觀察第12圖中的晶圓10時),單一繞射圖案的影像可透露閘極結構56的高度、寬度及間距,以及凹陷60的鄰近度,並且需使用多個影像以得到凹陷60的寬度、深度及間距。可理解的是得到結構的詳細資訊可包含有關於模擬臨界尺寸(CD)參數與繞射強度圖案之精細的(subtle)模擬(modeling)及回歸(regression)。根據本發明實施例的測試鍵亦可用於測量源極與汲極的臨界尺寸,且在形成金屬閘極之後,也可用於測量高介電常數(high-k)材料與金屬層的閘極高度、寬度、間距與厚度。
為了簡化模擬,測試鍵時常可有目的地設計成與真實結構稍微不同且更簡化。第13及14圖顯示類二維(quasi-2D)範例以量測高介電常數(high-k)材料與金屬的厚度。在第13圖中,藉由移除虛設多晶矽在半導體鰭片36上方的介電層上形成複數個凹陷64。半導體鰭片36可具有與第5A圖所示之相似的設計。所產生的結構是如第13圖所示的測試鍵230。形成具有小的上視面積的凹陷64是有益的,因此可在大面積中形成多個凹陷,而不會違反設計規則。可在測試鍵230的上視圖中形成具有矩形或方形形狀的凹陷64。根據本發明一些實施例,凹陷64具有由設計規則決定的間隔S2及S3。間隔S2及S3也可以是設計規則可容許的最小可容許間隔。舉例而言,間隔S2及S3可以小於約200奈米。凹陷64的長度L3及寬度W3應大於間隔S2及S3,且可為約10微米。凹陷64也可形成陣列,陣列在X方向中測得間距P4,且在Y方向中測得間距P5。每一個凹陷64可覆蓋複數個鰭片36。
第14圖繪示第13圖所示結構的一部分的剖面示意圖,其中從剖面示意圖是從第13圖中的剖面線14-14之平面所得到。根據本發明一些實施例,形成層間介電層(Inter-layer dielectric,ILD)66以覆蓋半導體鰭片36(亦參照第13圖),且藉由蝕刻部分的層間介電層(ILD)66形成凹陷64。在蝕刻之後,在每一個凹陷64內露出半導體鰭片36及淺溝槽隔離區32。接著在鰭片36之露出的側壁上形成界面層(interfacial layer)68,例如藉由熱氧化或化學氧化,且界面層68可包含氧化矽。形成高介電常數介電層70及金屬層72為延伸至凹陷64內的順應性的層,且高介電常數介電層70及金屬層72包含在層間介電層66上方的部分。高介電常數介電層70可由氧化鋁、氧化鑭、氧化鉿或其他類似材料形成。金屬層72可由氮化鈦(TiN)、氮化鉭(TaN)、鋁化鈦(TiAl)、鈷(Co)或其他類似材料形成。
如第13及14圖所示,X光束38可投射至晶圓10上,且從晶圓10反射或穿透晶圓10之散射的X光束產生繞射圖案。從繞射圖案可發現第14圖所示各種參數,例如高介電常數介電層70與金屬層72的厚度,以及凹陷64的深度。可了解的是,不同材料例如高介電常數介電層70與金屬層72由於具有相同的間距,這些材料的繞射峰實際上在相同的繞射圖案上重疊。然而,當材料(例如氧化矽與氮化鈦)的電子密度的差異夠大時,仍可分辨出不同材料之個別的繞射峰,因此仍可以合適的模式決定材料的厚度。
根據本發明實施例設計和製造的測試鍵由於其具有大的測試鍵尺寸及高繞射強度,可用於決定製造過程中的各 種參數。這些測試鍵也可與形成積體電路的實際部件同時形成,因此藉由測量測試鍵,可決定實際部件的品質。舉例而言,除了前述實施例所量測的參數之外,根據本發明實施例的測試鍵也可用於決定間距偏移,以量測虛設閘極電極是否有形成在半導體鰭片之間的孔洞,量測虛設閘極電極(例如多晶矽)的輪廓及臨界尺寸,量測移除虛設閘極所形成的凹陷中之鰭片高度,當將長的虛設閘極電極切割成較短的虛設閘極電極時,決定虛設閘極電極的輪廓,決定各種線(例如虛設閘極及金屬閘極、內連線結構中的金屬線等)的線邊緣粗糙度及線寬粗糙度,以及決定銅線的階梯高度和凹陷程度。
本發明實施例具有一些有優勢的部件。藉由形成測試鍵使測試鍵中的部件被斷裂成更小的部件,而非讓部件延伸遍及測試鍵的全長或全寬,可在不違反設計規則的情況下,形成較大的測試鍵。所形成的測試鍵可因此大於X光束的光點尺寸,因此X光束不會收到來自測試鍵以外的部件的信號。這可加快使用X光散射測量的量測,且由於整個X光的光點可落入測試鍵的邊界內,並且沒有位在測試鍵外的信號反映在繞射圖案中,因此也可改善繞射圖案的品質。
根據本發明一些實施例,提供X光散射測量的方法,其包含形成測試鍵。形成測試鍵包含形成第一複數個半導體條,且將第一複數個半導體條切割成第二複數個半導體條的陣列,其中陣列的每一列是由第一複數個半導體條中的一條形成,在第二複數個半導體條之間的凹陷中形成隔離區,以及將隔離區凹陷。第二複數個半導體條的頂部凸出高於隔離區,以 形成半導體鰭片,半導體鰭片形成鰭片陣列。將X光束投射在測試鍵上。從測試鍵散射之散射的X光束得到繞射圖案。在一實施例中,使用一製造技術形成第一及第二複數個半導體條,且在同一列中的第二複數個半導體條的間隔是此製造技術的最小間隔。在一實施例中,第一複數個半導體條具有一致的間距。在一實施例中,陣列具有在鰭片陣列的各列之間的第一均等間距,以及在鰭片陣列的各行之間的第二均等間距。在一實施例中,此方法更包含從繞射圖案中決定參數,參數選自於半導體鰭片的間距、半導體鰭片的寬度以及半導體鰭片的高度。在一實施例中,形成測試鍵更包含形成複數個閘極結構覆蓋半導體鰭片的第一部分,以及蝕刻半導體鰭片的第二部分延伸在複數個閘極結構之外,以形成凹陷。在一實施例中,形成測試鍵更包含在半導體鰭片上方形成層間介電層,在層間介電層中形成凹陷的陣列,以露出部分的半導體鰭片,以及形成高介電常數介電層延伸至凹陷的陣列內。在一實施例中,形成測試鍵更包含在高介電常數介電層上方形成金屬層。在一實施例中,測試鍵是包含複數個子測試鍵的複合測試鍵,其中每一個子測試鍵包含複數個半導體鰭片形成子陣列,以及填入子測試鍵之間的空隙的半導體鰭片之隨機圖案。在一實施例中,測試鍵具有非矩形的輪廓線。
根據本發明一些實施例,提供X光散射測量的方法,此方法包含形成半導體鰭片的陣列,其中半導體鰭片具有相同長度,且此陣列具有複數列及複數行;在半導體鰭片上方形成額外部件,其中額外部件包含至少複數行,每一行都在半 導體鰭片的行上方;從半導體鰭片及額外部件的陣列得到X光繞射圖案,以及從X光繞射圖案決定額外部件的尺寸。在一實施例中,形成額外部件包含形成閘極結構,每一個閘極結構延伸遍及半導體鰭片的整個行。在一實施例中,形成額外部件更包含蝕刻半導體鰭片未被閘極結構覆蓋的部分,以形成延伸至隔離區內的凹陷,其中決定尺寸包含決定凹陷的深度。在一實施例中,形成額外部件更包含在半導體鰭片上方形成層間介電層,蝕刻層間介電層以形成延伸至層間介電層內的額外凹陷陣列,形成高介電常數介電層延伸至額外凹陷陣列內,以及在高介電常數介電層上方形成金屬層,其中決定額外部件的尺寸包含決定高介電常數介電層的厚度與金屬層的厚度。在一實施例中,得到X光繞射圖案包含將X光束投射至陣列及額外部件上,以及從陣列及額外部件散射的散射之X光束接收X光繞射圖案。在一實施例中,此方法更包含在陣列周圍形成複數個半導體鰭片,其中這些半導體鰭片是以隨機圖案分布。在一實施例中,半導體鰭片的陣列具有大於約50微米乘以50微米的尺寸。
根據本發明一些實施例,提供X光散射測量的方法。此方法包含形成測試鍵,其包含形成半導體鰭片的陣列,其中半導體鰭片具有相同的長度,且陣列具有複數行及複數列,在半導體鰭片上方形成複數個閘極結構,其中這些閘極結構大致均勻地分布於陣列各處,且這些閘極結構的長度方向垂直於半導體鰭片的長度方向,以及蝕刻半導體鰭片未被這些閘極結構覆蓋的部分,以形成凹陷延伸至隔離區內。此方法更包 含從測試鍵得到X光繞射圖案,以及從X光繞射圖案決定半導體鰭片及這些閘極結構的尺寸。在一實施例中,這些閘極結構中的每一個從陣列的第一端延伸至陣列之相對的第二端。在一實施例中,決定尺寸包含決定凹陷的深度及半導體鰭片的間距、寬度與高度的其中至少一者。
前述內文概述了許多實施例的部件,使本發明所屬技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本發明所屬技術領域中具有通常知識者應可理解,可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,以實現與在此介紹的實施例相同的目的及/或達到相同的優點。本發明所屬技術領域中具有通常知識者也應了解,這些等效的結構並未背離本發明之精神與範圍。在不背離本發明之精神與範圍的前提下,可對本發明實施例進行各種改變、置換及修改。

Claims (1)

  1. 一種X光散射測量的方法,包括:形成一測試鍵,包括:形成一第一複數個半導體條;將該第一複數個半導體條切割成一第二複數個半導體條的一陣列,其中該陣列的每一列是由該第一複數個半導體條中的一條形成;在位在該第二複數個半導體條之間的凹陷內形成一隔離區;以及將該隔離區凹陷,其中該第二複數個半導體條的頂部凸出高於該隔離區,以形成複數個半導體鰭片,且該些半導體鰭片形成一鰭片陣列;將一X光束投射在該測試鍵上;以及從該測試鍵散射的散射X光束得到一繞射圖案。
TW106142712A 2017-07-31 2017-12-06 X光散射測量的方法 TWI743263B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762538971P 2017-07-31 2017-07-31
US62/538,971 2017-07-31
US15/725,857 2017-10-05
US15/725,857 US10499876B2 (en) 2017-07-31 2017-10-05 Test key design to enable X-ray scatterometry measurement

Publications (2)

Publication Number Publication Date
TW201910759A true TW201910759A (zh) 2019-03-16
TWI743263B TWI743263B (zh) 2021-10-21

Family

ID=65004158

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106142712A TWI743263B (zh) 2017-07-31 2017-12-06 X光散射測量的方法

Country Status (5)

Country Link
US (2) US10499876B2 (zh)
KR (1) KR102081870B1 (zh)
CN (1) CN109324278B (zh)
DE (1) DE102017127655A1 (zh)
TW (1) TWI743263B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11256379B2 (en) * 2019-09-26 2022-02-22 Samsung Display Co., Ltd. Display device and a method of fabricating the same
CN113467188B (zh) * 2020-03-30 2022-05-13 长鑫存储技术有限公司 半导体结构及其制备方法
US11341629B2 (en) * 2020-07-02 2022-05-24 Pratt & Whitney Canada Corp. Systems and methods for generating an inspection image of an object from radiographic imaging
US11455715B2 (en) * 2021-02-16 2022-09-27 Applied Materials Israel Ltd. Epitaxy metrology in fin field effect transistors

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005175400A (ja) * 2003-12-15 2005-06-30 Canon Inc 露光装置
US20050275850A1 (en) 2004-05-28 2005-12-15 Timbre Technologies, Inc. Shape roughness measurement in optical metrology
TWI236080B (en) * 2004-08-09 2005-07-11 Powerchip Semiconductor Corp An analysis method
US7453065B2 (en) * 2004-11-10 2008-11-18 Canon Kabushiki Kaisha Sensor and image pickup device
US7600916B2 (en) * 2004-12-01 2009-10-13 Jordan Valley Semiconductors Ltd. Target alignment for X-ray scattering measurements
WO2006075525A1 (en) * 2004-12-24 2006-07-20 Semiconductor Energy Laboratory Co., Ltd. Light exposure apparatus and manufacturing method of semiconductor device using the same
JP4801440B2 (ja) * 2004-12-24 2011-10-26 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7888736B2 (en) 2007-08-29 2011-02-15 International Business Machines Corporation MUGFET with optimized fill structures
US9112052B2 (en) * 2009-10-14 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in STI regions for forming bulk FinFETs
US9087725B2 (en) * 2009-12-03 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with different fin height and EPI height setting
US8687766B2 (en) * 2010-07-13 2014-04-01 Jordan Valley Semiconductors Ltd. Enhancing accuracy of fast high-resolution X-ray diffractometry
US8298913B2 (en) * 2010-10-12 2012-10-30 International Business Machines Corporation Devices with gate-to-gate isolation structures and methods of manufacture
US8779517B2 (en) * 2012-03-08 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-based ESD devices and methods for forming the same
US10013518B2 (en) * 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9093335B2 (en) * 2012-11-29 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Calculating carrier concentrations in semiconductor Fins using probed resistance
US9304403B2 (en) * 2013-01-02 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for lithography alignment
US8822290B2 (en) * 2013-01-25 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8895446B2 (en) * 2013-02-18 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin deformation modulation
US9318621B2 (en) * 2013-03-08 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Rotated STI diode on FinFET technology
US9885962B2 (en) * 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9159552B2 (en) * 2013-12-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a germanium-containing FinFET
US9588066B2 (en) * 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
US10401309B2 (en) * 2014-05-15 2019-09-03 Sigray, Inc. X-ray techniques using structured illumination
TWI559356B (zh) * 2014-05-23 2016-11-21 財團法人工業技術研究院 具有高角度解析度的電子散射裝置、系統與方法
KR102521159B1 (ko) * 2014-11-25 2023-04-13 피디에프 솔루션즈, 인코포레이티드 반도체 제조 공정을 위한 개선된 공정 제어 기술
US9673112B2 (en) 2015-02-13 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor fabrication with height control through active region profile
JP6502705B2 (ja) * 2015-03-03 2019-04-17 キヤノン株式会社 形成方法
US10060865B2 (en) * 2015-03-10 2018-08-28 Lyncean Technologies, Inc. Measurement of critical dimensions of nanostructures using X-ray grazing incidence in-plane diffraction
NL2016472A (en) * 2015-03-25 2016-09-30 Asml Netherlands Bv Metrology Methods, Metrology Apparatus and Device Manufacturing Method.
US10545104B2 (en) * 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
US10401740B2 (en) * 2015-05-15 2019-09-03 Kla-Tencor Corporation System and method for focus determination using focus-sensitive overlay targets
US10096712B2 (en) * 2015-10-20 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming and monitoring quality of the same
CN113376975A (zh) * 2015-12-23 2021-09-10 Asml荷兰有限公司 量测方法、量测设备、器件制造方法和计算机程序产品
US10684238B2 (en) 2016-01-11 2020-06-16 Bruker Technologies Ltd. Method and apparatus for X-ray scatterometry
US10504759B2 (en) * 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
US9728492B1 (en) * 2016-05-24 2017-08-08 Infineon Technologies Ag Strip testing of semiconductor devices
US10032728B2 (en) * 2016-06-30 2018-07-24 Alpha And Omega Semiconductor Incorporated Trench MOSFET device and the preparation method thereof
US10032908B1 (en) * 2017-01-06 2018-07-24 Sandisk Technologies Llc Multi-gate vertical field effect transistor with channel strips laterally confined by gate dielectric layers, and method of making thereof
TWI713219B (zh) * 2017-04-24 2020-12-11 聯華電子股份有限公司 半導體元件及其製作方法

Also Published As

Publication number Publication date
CN109324278A (zh) 2019-02-12
CN109324278B (zh) 2023-04-14
KR102081870B1 (ko) 2020-02-26
US10499876B2 (en) 2019-12-10
TWI743263B (zh) 2021-10-21
US20190029634A1 (en) 2019-01-31
US11071513B2 (en) 2021-07-27
US20200037979A1 (en) 2020-02-06
DE102017127655A1 (de) 2019-01-31
KR20190013412A (ko) 2019-02-11

Similar Documents

Publication Publication Date Title
US11071513B2 (en) Test key design to enable X-ray scatterometry measurement
KR101002412B1 (ko) 비대칭적인 프로파일을 갖는 피쳐들을 측정하는 방법
US8072601B2 (en) Pattern monitor mark and monitoring method suitable for micropattern
US7720632B2 (en) Dimension measuring apparatus and dimension measuring method for semiconductor device
TWI713219B (zh) 半導體元件及其製作方法
JP4864290B2 (ja) 半導体デバイス上の構造の寸法を測定するために使用される、非破壊光波測定(光波散乱計測)(scatterometry)に基づいた測定ツールを較正する方法と構造
Sendelbach et al. Use of multiple azimuthal angles to enable advanced scatterometry applications
US8426857B2 (en) Semiconductor device and method for producing the same
US8975094B2 (en) Test structure and method to facilitate development/optimization of process parameters
KR102313350B1 (ko) 광학 측정 방법 및 광학 측정 장치
Zhang et al. Metrology challenges in 3D NAND flash technical development and manufacturing
JP5857714B2 (ja) パターン測定方法及び半導体装置の製造方法
JP4901076B2 (ja) 測定の信頼度を向上させられる測定用パターンを備える半導体装置及び測定用パターンを利用した半導体装置の測定方法
Seo et al. Non-destructive depth measurement using SEM signal intensity
JP4849965B2 (ja) 半導体装置の製造方法
JP7463551B2 (ja) トレンチの光測定用ターゲット
Kuo et al. Recess gate process control by using 3D SCD in 3xm vertical DRAM
CN117038645B (zh) 半导体结构及其制备方法
CN113571437B (zh) 半导体器件测量方法
Liu et al. Measurement of deep silicon trench profile using normal incidence optical CD metrology
KR100668742B1 (ko) 구형 리세스채널용 트랜치의 임계치수 측정방법
Hu et al. Measurements of shallow trench isolation by normal incidence optical critical dimension technique
EP1402242B1 (en) Using scatterometry to develop real time etch image
Duan et al. Metrology of micro-step height structures using 3D scatterometry in 4x-nm advance DRAM
JP2009152581A (ja) 半導体装置および半導体装置の製造方法