CN109324278B - X光散射测量的方法 - Google Patents

X光散射测量的方法 Download PDF

Info

Publication number
CN109324278B
CN109324278B CN201711320393.4A CN201711320393A CN109324278B CN 109324278 B CN109324278 B CN 109324278B CN 201711320393 A CN201711320393 A CN 201711320393A CN 109324278 B CN109324278 B CN 109324278B
Authority
CN
China
Prior art keywords
forming
semiconductor fins
array
semiconductor
test key
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201711320393.4A
Other languages
English (en)
Other versions
CN109324278A (zh
Inventor
冯世鑫
王仲伟
黄忠利
林逸宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109324278A publication Critical patent/CN109324278A/zh
Application granted granted Critical
Publication of CN109324278B publication Critical patent/CN109324278B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B6/00Apparatus or devices for radiation diagnosis; Apparatus or devices for radiation diagnosis combined with radiation therapy equipment
    • A61B6/58Testing, adjusting or calibrating thereof
    • A61B6/582Calibration
    • A61B6/583Calibration using calibration phantoms
    • A61B6/584Calibration using calibration phantoms determining position of components of the apparatus or device using images of the phantom
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/22Connection or disconnection of sub-entities or redundant parts of a device in response to a measurement
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B6/00Apparatus or devices for radiation diagnosis; Apparatus or devices for radiation diagnosis combined with radiation therapy equipment
    • A61B6/08Auxiliary means for directing the radiation beam to a particular spot, e.g. using light beams
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B6/00Apparatus or devices for radiation diagnosis; Apparatus or devices for radiation diagnosis combined with radiation therapy equipment
    • A61B6/48Diagnostic techniques
    • A61B6/483Diagnostic techniques involving scattered radiation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J5/00Radiation pyrometry, e.g. infrared or optical thermometry
    • G01J5/10Radiation pyrometry, e.g. infrared or optical thermometry using electric radiation detectors
    • G01J5/20Radiation pyrometry, e.g. infrared or optical thermometry using electric radiation detectors using resistors, thermistors or semiconductors sensitive to radiation, e.g. photoconductive devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01TMEASUREMENT OF NUCLEAR OR X-RADIATION
    • G01T1/00Measuring X-radiation, gamma radiation, corpuscular radiation, or cosmic radiation
    • G01T1/16Measuring radiation intensity
    • G01T1/24Measuring radiation intensity with semiconductor detectors
    • G01T1/243Modular detectors, e.g. arrays formed from self contained units
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B6/00Apparatus or devices for radiation diagnosis; Apparatus or devices for radiation diagnosis combined with radiation therapy equipment
    • A61B6/44Constructional features of apparatus for radiation diagnosis
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J5/00Radiation pyrometry, e.g. infrared or optical thermometry
    • G01J5/10Radiation pyrometry, e.g. infrared or optical thermometry using electric radiation detectors
    • G01J5/20Radiation pyrometry, e.g. infrared or optical thermometry using electric radiation detectors using resistors, thermistors or semiconductors sensitive to radiation, e.g. photoconductive devices
    • G01J2005/202Arrays
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10116X-ray image
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Medical Informatics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Molecular Biology (AREA)
  • General Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Animal Behavior & Ethology (AREA)
  • Public Health (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Optics & Photonics (AREA)
  • Veterinary Medicine (AREA)
  • Radiology & Medical Imaging (AREA)
  • Biomedical Technology (AREA)
  • Heart & Thoracic Surgery (AREA)
  • Surgery (AREA)
  • Biophysics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • General Engineering & Computer Science (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

X光散射测量的方法包含形成测试键。测试键的形成包含形成第一多个半导体条,将第一多个半导体条切割成第二多个半导体条的阵列,阵列的每一列由第一多个半导体条中的一条形成,在第二多个半导体条之间的凹陷中形成隔离区,以及将隔离区凹陷。第二多个半导体条的顶部凸出高于隔离区而形成半导体鳍片,其形成鳍片阵列。此方法还包含将X光束投射至测试键上,以及从测试键散射的散射X光束得到绕射图案。

Description

X光散射测量的方法
技术领域
本公开实施例涉及集成电路制造技术,特别涉及集成电路中的部件(feature)参数的X光散射测量的方法。
背景技术
随着半导体产业朝16纳米或以下的节点技术的方向发展,工艺与度量衡(metrology)都变得愈来愈复杂且愈来愈具挑战性。精确且精准地监控关键重要部件对于保持良率是必要的,且对于帮助改良工艺及增强装置效能而言也是重要的。通常需要多种不同的度量衡类型且互补于现今的鳍式场效晶体管(Fin Field-Effect Transistor,FinFET)的特性,且没有任何一个度量衡类型可同时满足所有的测量需求。今日最广为使用的度量衡是光学临界尺寸(optical critical-dimension,OCD)度量衡以及临界尺寸扫描式电子显微镜(CD-scanning electron microscopy,CD-SEM)。然而,随着部件的尺寸不断缩小,产业界也密集地评估以X光为基础的散射测量(X-ray-based scatterometry)度量衡,例如临界尺寸小角度X光散射测量(CD-small angle X-ray scatterometry,CD-SAXS),其使用远小于部件的波长。
光学临界尺寸(OCD)度量衡通过椭圆偏振技术(ellipsometry)或反射测量术(reflectometry)或前述两者,使用宽频带(broadband)的光源,其波长一般大约在200纳米至1000纳米,以测量平均临界尺寸(CD)、轮廓及材料性质。光学临界尺寸(OCD)度量衡是快速且非破坏性的,且得到高可信的平均临界尺寸。然而,光学临界尺寸(OCD)度量衡也有数个缺点,其需要准确度的验证及校正的基准,且无法提供临界尺寸的变异信息。更有甚者,光学临界尺寸(OCD)的结果高度依赖模式(model),且易受光学功能性质的变化影响。在不同临界尺寸参数之间的光谱响应(spectra response)的高相关性也给光学临界尺寸(OCD)度量衡带来困难。另一方面,临界尺寸扫描式电子显微镜(CD-SEM)不需要参考基准,且可提供变异信息。不需要模式且光学性质的改变不会影响CD-SEM测量的准确度。更重要的是,CD-SEM对于局部表面是敏感的,且埋入的部件与测量无关连。然而,CD-SEM难以测量三维(3D)轮廓,且CD-SEM的分辨率不够小。CD-SEM也需要局部测量的多次取样才能得到高可信的平均临界尺寸。
X光散射测量,例如临界尺寸小角度X光散射(CD-SAXS)亦被认为是纳米尺寸部件的具有潜力的度量衡解决方案。X光散射测量的原理是根据古典X光散射,其对电子密度的差异对比敏感,且可避免有关于光学性质的问题。一般而言,X光散射测量的模式通常相较于OCD的模式更耐用,且很少有参数的互相关联性。X光散射测量也可测量三维轮廓,且具有高可信的平均临界尺寸的准确度及精准度。X光散射测量也提供变异信息,例如来自于德拜-沃勒(Debye–Waller)式加宽绕射峰的线宽粗糙度(line-width roughness,LWR)或线边缘粗糙度(line-edge roughness,LER)。然而,X光散射测量也具有其自身的问题。举例而言,X光的光点尺寸,其为投射至样品上的X光束的尺寸,一般而言X光的光点尺寸大且无法缩小来配合测试键的尺寸。另一方面,由于设计规则的限制,测试键无法设计成大到足以配合X光束的光点尺寸。这导致使用X光散射测量的量测需耗费相当多时间,有时可能达数小时或更久,或甚至无法测量。
发明内容
本公开的一些实施例提供X光散射测量的方法,其包含形成测试键。形成测试键包含形成第一多个半导体条,且将第一多个半导体条切割成第二多个半导体条的阵列,其中阵列的每一列是由第一多个半导体条中的一条所形成,在第二多个半导体条之间的凹陷中形成隔离区,以及将隔离区凹陷,其中第二多个半导体条的顶部凸出高于隔离区,以形成半导体鳍片,且半导体鳍片形成鳍片阵列。此方法还包含将X光束投射在测试键上,以及从测试键散射的散射X光束得到绕射图案。
本公开的一些实施例提供X光散射测量的方法,其包含形成半导体鳍片的阵列,其中半导体鳍片具有相同长度,且此阵列具有复数列及复数行,在半导体鳍片上方形成额外部件,其中额外部件包含至少复数行,且每一行都在一行半导体鳍片上方,从半导体鳍片的阵列及额外部件得到X光绕射图案,以及从X光绕射图案决定额外部件的尺寸。
本公开的一些实施例提供X光散射测量的方法,其包含形成测试键。形成测试键包含形成半导体鳍片的阵列,其中半导体鳍片具有相同的长度,且阵列具有复数行及复数列,在半导体鳍片上方形成多个栅极结构,其中这些栅极结构大致上均匀地分布于阵列各处,且这些栅极结构的长度方向垂直于半导体鳍片的长度方向,以及蚀刻半导体鳍片未被这些栅极结构覆盖的部分,以形成延伸至隔离区内的凹陷。此方法还包含从测试键得到X光绕射图案,以及从X光绕射图案决定半导体鳍片及这些栅极结构的尺寸。
附图说明
根据以下的详细说明并配合所附附图可更加理解本公开实施例的观点。应注意的是,根据本产业的标准惯例,附图中的各种部件并未必按照比例绘制。事实上,可能任意的放大或缩小各种部件的尺寸,以做清楚的说明。
图1A、图1B、图2、图3A、图3B、图3C及图4绘示根据本公开一些实施例形成测试键(test key)的各个中间阶段的剖面图、上视图及透视图,测试键包含具有线端切口(line-end cut)的半导体鳍片。
图5A绘示根据本公开一些实施例将X光束投射至测试键上以得到绕射图案的示意图。
图5B、图5C及图5D分别绘示根据本公开一些实施例的入射光及绕射光的透视图、上视图及侧视图。
图6绘示根据本公开一些实施例的示范绕射图案。
图7绘示根据本公开一些实施例的具有符合X光点的形状及尺寸的轮廓线(contour)的测试键的示意图。
图8绘示根据本公开一些实施例的包含阵列及位在阵列之间的随机图案(random-pattern)区的复合测试键的示意图。
图9绘示根据本公开一些实施例的在示范随机图案区中的鳍片布局的示意图。
图10绘示根据本公开一些实施例的在示范拟随机图案(pseudo-random-pattern)区中的鳍片布局的示意图。
图11绘示根据本公开一些实施例的包含栅极结构形成在半导体鳍片上的测试键的示意图。
图12绘示根据本公开一些实施例的图11所示的测试键的一部分的透视图。
图13绘示根据本公开一些实施例的包含凹陷形成在覆盖半导体鳍片的层间介电层中的测试键的示意图。
图14绘示根据本公开一些实施例的图13所示的测试键的一部分的剖面示意图。
图15绘示根据本公开一些实施例的形成测试键的工艺流程图。
附图标记说明:
10~晶片;
20~基底;
22~衬垫氧化物;
24~硬掩模;
26~沟槽;
28~半导体条;
30、130、230~测试键;
30’~子测试键;
32~浅沟槽隔离区;
36~半导体鳍片;
38~入射X光束;
40~绕射X光束;
42~光点;
44、46~虚线;
47~矩形阵列;
50~随机虚设图案区;
52~子区域;
53~区域;
54A~虚设栅极氧化物;
54B~虚设栅极电极;
54C~硬掩模;
56~栅极结构;
58~栅极间隙壁;
60、64~凹槽;
66~层间介电层;
68~界面层;
70~高介电常数介电层;
72~金属层;
200~流程图;
202、204、206、208~步骤;
A、B~检测器;
H1~高度;
L1、L2、L3、LA1~长度;
P1、P2、P3、P4、P5~间距;
S1、S2、S3~间隔;
W1、W3、WA1~宽度;
α~方位角;
β、γ~入射角;
θ~角度。
具体实施方式
以下的公开内容提供许多不同的实施例或范例,以实施本公开实施例所提供标的的不同部件(feature)。以下叙述各个元件及其排列方式的特定范例,以简化本公开实施例。当然,这些叙述仅作为范例,并非用以限定本公开实施例。举例而言,若是以下叙述第一部件形成于第二部件之上或上方,即表示其可能包含第一部件与第二部件是直接接触的实施例,亦可能包含有附加部件形成于第一部件与第二部件之间,而使第一部件与第二部件可能未直接接触的实施例。另外,本公开实施例中的不同范例可能重复使用相同的参考符号及/或标记。这些重复是为了简化与清晰的目的,并非用以表示所讨论的不同实施例及/或结构之间的关系。
此外,在此可以使用与空间相关用词,例如「在…下方」、「下方」、「较低的」、「上方」、「较高的」及类似的用词,以便于描述附图中一个元件或部件与另一个(些)元件或部件之间的关系。除了在附图中绘示的方位外,这些空间相关用词意欲包含使用中或操作中的装置的不同方位。装置可能会有不同方位(旋转90度或其他方位),并且在此使用的空间相关用词也可依此做同样地解释。
根据各种示范实施例,提供X光散射测量的测试键(test key)(测试样品)以及使用测试键测量部件参数(feature parameter)的方法,部件参数例如为集成电路中的尺寸、厚度、深度及侧壁角度。根据一些实施例说明形成测试键的各个中间阶段。以下叙述一些实施例的变化。在各个附图及所绘示的实施例中,相似的元件使用相似的标号标示。
图1A、图1B、图2、图3A、图3B、图3C、图4及图5绘示根据本公开一些实施例形成测试键的各个中间阶段,以及使用测试键进行X光散射测量的剖面图、上视图及透视图。测试键包含半导体鳍片,其可用于形成鳍式场效晶体管(FinFET)。图1A、图1B、图2、图3A、图3B、图3C、图4及图5所示的步骤也示意性地反映在图15所示的工艺流程图中。
图1A绘示晶片10的剖面示意图,其包含半导体基底20。半导体基底20可以是主体基底(bulk substrate)或绝缘体上的半导体(semiconductor-on-insulator)基底。根据本公开的一些实施例,半导体基底20是由半导体材料形成,半导体材料可选自于硅、硅锗、碳化硅、锗以及三五族化合物(III-V compound)半导体材料,例如GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及其他类似的半导体材料,但不限于上述材料。基底20可轻掺杂P型或N型杂质。
在半导体基底20上方形成衬垫氧化物(pad oxide)22及硬掩模24。根据本公开的一些实施例,衬垫氧化物22由氧化硅形成,其可通过将半导体基底20的表面层氧化而形成。硬掩模24可由氮化硅、氮氧化硅、碳化硅、碳氮化硅(silicon carbo-nitride)或其他类似材料形成。根据本公开的一些实施例,硬掩模24由氮化硅形成,例如使用低压化学气相沉积法(Low-Pressure Chemical Vapor Deposition,LPCVD)形成。根据本公开的另一些实施例,硬掩模24是经由硅的热氮化(thermal nitridation)、等离子体增强化学气相沉积法(Plasma Enhanced Chemical Vapor Deposition,PECVD)或等离子体阳极氮化(plasmaanodic nitridation)形成。
将硬掩模24图案化。根据本公开的一些实施例,硬掩模24的图案化包含形成心轴(mandrel)(图未示,其可以是平行的非晶硅条),在心轴上形成顺应性的间隔层(图未示),执行各向异性蚀刻以移除间隔层的水平部分,使得间隔层的垂直部分形成垂直间隙壁,将垂直间隙壁切割成平行条,以及使用平行的间隙壁条作为蚀刻掩模,以蚀刻硬掩模24。
图1B绘示图1A所示的结构的上视图。根据本公开的一些实施例,硬掩模24具有一致的间距(pitch),即意指图1B中所示的间距P1及P2彼此相等。然而,由于制造过程造成的变异,可能发生间距偏移(pitch walk),其意指间距P1及P2偏离设计值,且可能变成互相有差异。
请再参照图1A,硬掩模24可用作蚀刻掩模以蚀刻半导体基底20,进而形成半导体条28,且沟槽26将半导体条28互相分开。此各别的步骤显示于图15所示的流程图中的步骤202。使用特定的技术制造晶片10中的集成电路,例如45纳米技术、18纳米技术或其他类似的技术。此技术包含多个因素,其包含想要的临界尺寸、生产工具的精准度等。相应的技术具有一套设计规则,控制各种可用于形成集成电路的参数。举例而言,设计规则可具体说明每一种类型的部件,例如半导体鳍片的最大图案密度、最大尺寸、最大面积、最小尺寸、最小间隔等。
在图1A及图1B中,若半导体条28是用于形成半导体鳍片,如果半导体条28因为一些工艺问题而不允许太长,则可能会违反设计规则。因此,将长的硬掩模24与半导体条28切割(例如经由蚀刻)成较短的条状,如图2所示。此外,也移除鳍片的线端部分,称作线端切割(line-end cut)。此各别的步骤显示于图15所示的流程图中的步骤204。沟槽26因此延伸于缩短的半导体条28之间。根据本公开的一些实施例,在相邻的缩短的半导体条28之间的间隔S1是使用制造技术能达成的最小间隔,其例如约100纳米或约200纳米,取决于制造技术而定。将长的半导体条28切割成较短的条状是有益的,其能够增加所产生的测试键30(如图5A所示)尺寸的总面积,而不会违反设计规则。
接着,请参照图3A、图3B及图3C,形成介电区域及/或材料32填入将半导体条28隔开的沟槽26(图2)。此各别的步骤显示于图15所示的流程图中的步骤206。图3A绘示自图3B中含有3A-3A线的平面所得到的结构的剖面示意图。图3C绘示自图3B中含有3C-3C线的平面所得到的结构的剖面示意图。根据本公开的一些实施例,介电区域32包含内衬氧化物或氮化物,以及位在内衬氧化物或氮化物上方的介电材料(未分开绘示)。
将介电材料填入沟槽26的剩余部分,产生图3A所示的结构。介电材料可由氧化硅、碳化硅、氮化硅或前述多层形成。介电材料的形成方法可选自可流动的化学气相沉积法(Flowable Chemical Vapor Deposition,FCVD)、旋转涂布法(spin-on coating)、化学气相沉积法(Chemical Vapor Deposition,CVD)、原子层沉积法(Atomic Layer Deposition,ALD)、高密度等离子体化学气相沉积法(High-Density Plasma Chemical VaporDeposition,HDPCVD)、低压化学气相沉积法(LPCVD)及其他类似的方法。
接着执行平坦化,例如化学机械研磨(Chemical Mechanical Polish,CMP)或机械研磨(mechanical grinding),直到露出硬掩模24为止。介电材料的剩余部分被称作浅沟槽隔离(Shallow Trench Isolation,STI)区32。硬掩模24可用作化学机械研磨(CMP)停止层,且因此硬掩模24的顶面与浅沟槽隔离(STI)区32的顶面大致共平面。
接着移除硬掩模24。如果硬掩模24是由氮化硅形成,可在湿式工艺中使用热磷酸(H3PO4)作为蚀刻剂移除硬掩模24。接着,如图4所示,将浅沟槽隔离区32凹陷,且可在相同工艺中移除垫(pad)层22(图3C)。此各别的步骤显示于图15所示的流程图中的步骤208。作为凹陷的结果,半导体条28的顶部凸出高于浅沟槽隔离区32的顶面,且以下将称作半导体鳍片36。原来的半导体条28的位于浅沟槽隔离区32中的部分仍称作半导体条28。可使用等向性的蚀刻工艺执行浅沟槽隔离区32的凹陷,其可以是干式蚀刻工艺或湿式蚀刻工艺。根据本公开的一些实施例,使用干式蚀刻方法执行浅沟槽隔离区32的凹陷,其中使用包含NH3及NF3的工艺气体。根据本公开另一些实施例,使用湿式蚀刻方法执行浅沟槽隔离区32的凹陷,其中蚀刻剂溶液是稀释的HF溶液。
图5A示意性地绘示根据以上所示的示范工艺在晶片10中所形成的测试键30。测试键30包含多个半导体鳍片36,其包含以交替的图案排列的间距P1及P2。根据本公开的一些实施例,间距P1及P2是设计成(目的是要)彼此相等,因此半导体鳍片36具有一致的间距。半导体鳍片36可形成阵列。阵列测试键的垫(pad)尺寸可大于X光点的尺寸,使得绕射强度图案完全来自测试键的垫图案。根据一些实施例,阵列垫(array pad)可以在每个方向上大于100微米,如果间距小于100纳米,阵列垫可包含大约1000个以上的行或列。
根据本公开的一些实施例,在晶片10的每一个晶粒(die)(也可称作芯片(chip))中形成测试键30。因此,通过测量测试键30,也可得到遍及整个晶片10所量测的部件的参数的均匀性(或非均匀性)。
可在形成用于实际的鳍式场效晶体管(FinFET)的半导体鳍片时,同时形成测试键30。因此,测试键30中的半导体鳍片36的部件参数(包含间距、宽度、临界尺寸、高度等)反映出用于形成实际的鳍式场效晶体管(FinFET)的鳍片的部件参数。因此,通过测量测试键30中的鳍片36的部件参数,可发现用于形成实际的鳍式场效晶体管(FinFET)的鳍片的部件参数。此方法需要半导体鳍片36的部件参数尽可能地接近用于形成实际的鳍式场效晶体管(FinFET)的鳍片的部件参数。
根据本公开的一些实施例,通过将X光束38投射至测试键30上来执行部件参数的测量,并得到散射X光束40的绕射图案。如果X光束38以垂直于晶片顶面的方向投射在晶片上,X光束38可具有圆形的光点。根据本公开的一些实施例,X光束38以小的入射角β投射在晶片10上,入射角β可小于约30度。结果,X光束38的光点42被拉长,且可具有椭圆形的形状。光点42较佳为落在测试键30的边界之内,且不延伸至测试键30以外的区域。否则,反射光束40将不利地包含由测试键30以外的部件所产生的信号。根据本公开的一些实施例,测试键30是矩形的阵列,其具有长度L1及宽度W1,且长度L1等于宽度W1或大于宽度W1的1倍、2倍、5倍或更多倍,取决于光点尺寸及入射角β。
用在X光散射测量中的X光束38的光点尺寸通常相对较大,且可大于传统的OCD目标,其大约是50微米乘以50微米。两个原因如下,第一,由于X光相当具有穿透性,很难有镜面可将X光束聚焦成小尺寸。第二,除非有高亮度的X光光源例如同步加速器辐射(synchrotron radiation),X光散射与材料的截面一般较小,且绕射强度较弱,且因此需要很长的时间例如数小时至数天,以得到绕射图案来重建三维图案,除非测量的目标够大。较弱的光强度也导致较低的信号杂讯比(Signal-to-Noise Ratio,SNR),其与光强度的平方根成正比。因此,为缩短测试时间及增加信号杂讯比(SNR),较佳为增加测试键的尺寸。然而,增加测试键的尺寸可能会导致违反设计规则。为了解决此问题,根据本公开的一些实施例,如上所述,采用其他方式,将延伸通过整体长度L1的长半导体鳍片断裂成较短的半导体鳍片。每一个半导体鳍片36的长度L2可设计为鳍片的最大可容许的长度,其大约是数微米,而不会违反设计规则。通过将长的半导体鳍片断裂,可扩大测试键30的面积至大于X光束的光点尺寸,而不会违反设计规则。作为较大测试键的结果,可缩短测试时间且可得到够好的信号杂讯比(SNR)。举例而言,已知绕射强度(反映如图6所示的条纹亮度)大约跟测试键的长度平方乘以宽度平方成正比。因此,加大测试键的尺寸可大幅地增强绕射强度。那些切割可使得绕射图案与未切割的绕射图案不同。若切割是周期性的,将对绕射峰产生一些贡献。然而,由于结构的间距大约是10纳米到100纳米,与大约数微米的切割的周期性非常不同,那些绕射峰会与使用者关注的绕射峰很好地分开。若切割为随机分布,如果切割的密度小,其绕射贡献(diffraction contribution)会如同背景杂讯一样。因此,虽然那些切割可能会带来小的绕射图案变化,其效果非常轻微。
分析绕射图案以得到部件参数。举例而言,图6绘示范例倒空间图(ReciprocalSpace Map,RSM),通过采用由样品得到的多个绕射图案,建构三维倒空间(3D reciprocalspace)的截面,例如从XZ平面。由于从测试键30散射的X光束的干涉而产生绕射图案,每一个X光束具有不同的入射角β(也可使用如图5B及图5D所示的角度γ来界定入射角)或方位角(azimuth)α。图5B、图5C及图5D绘示入射角β(或γ)及方位角α的定义,其中入射角是入射光38与晶片平面之间的角度(角度β),或是入射光38与Z方向之间的角度。如图5B及图5C所示,方位角α是入射线所在的垂直面与垂直平面之间的旋转角度,且垂直平面由X轴(与鳍片的长度方向平行的X轴)和Z轴形成。
图6可透露半导体鳍片36的一些部件参数,且以下将叙述由图6可得到的一些示范部件参数。应理解的是,分析散射X光束的绕射图案以得到部件参数是本技术领域已知的技术。举例而言,图6所示的绕射图案显示以规则图案排列的亮条纹。规则性是由测试键30中部件的周期性所造成。可画出直线以连结亮条纹的中心,直线以虚线44显示。直线(虚线)44具有与(2π/间距)成正比的距离,其中间距是如图4中所标记的半导体鳍片36的间距。因此,通过绕射图案,可决定半导体鳍片的高度H1(参照在图6左侧的鳍片36的高度)。也可在绕射图案上绘制斜线46,其中在斜线46之间形成的角度为2θ,其为图4所示的半导体鳍片36的侧壁的倾斜角θ的两倍。因此,可自绕射图案决定半导体鳍片36的侧壁的倾斜角θ(图4)。此外,平行的直线46具有与(2π/高度)成正比的距离,其中高度是如图4中所标记的半导体鳍片36的鳍片高度H1。因此,通过绕射图案,可决定半导体鳍片的高度。
可从反射或透射的X光散射光束得到绕射图案。图5A中的绕射图案是由反射的X光束40所得到,且此各别的测量方式被称作掠角入射(grazing incidence)。掠角入射方式显示于图5D,其中检测器A是用以检测绕射光40,且检测器A位在晶片的相同侧。根据本公开的另一些实施例,可从穿透晶片10的散射X光束得到绕射图案,且绕射图案是从晶片10的后方所得到。且此各别的测量方式被称作透射方式。在图5D中也显示透射方式,其中检测器B是用以检测绕射光40,且检测器B是位在与入射光38相反的晶片的另一侧。
另外,在图5A所示的示范实施例中,将入射X光束38投射在半导体鳍片36的长度方向上,即图5A中的X方向。根据本公开另一实施例,将入射X光束38投射在半导体鳍片36的宽度方向上(例如图5A中的Y方向)。为了在单一绕射图案的影像中得到鳍片36的高度及间距,可将入射X光束38投射在鳍片36的长度方向上,如图6所示。然而,如果将入射X光束38投射在鳍片36的宽度方向上,则需要多个绕射图案的影像来透露鳍片的高度,这些影像中的每一个采用具有彼此稍微不同的入射角β(图5A)的各自的X光束。将绕射图案的多个影像结合以得到部件参数,例如间距、鳍片宽度、高度、侧壁的倾斜角等。也可建立模式以帮助决定上述参数。
根据本公开的一些实施例,如图5A所示的测试键30从上视图来看为矩形。根据本公开另一些实施例,测试键30可具有符合X光束的光点的加长形状的其他形状。举例而言,如图7所示,测试键30具有相似于椭圆形的加长形状。如图7所示的测试键30可设计为包含多个矩形阵列47,每一个矩形阵列47包含多个半导体鳍片36。全部的测试键30具有X光束的光点形状的轮廓线,使得X光束38的整个光点落入测试键30内,且将测试键30位在X光束38的光点以外的部分最小化。这会让X光的光点的使用最大化,同时将测试键30的尺寸最小化。
图8绘示复合测试键30的布局,其包含多个测试键30’,在本文中也可被称作子测试键(sub-test-key)。每一个子测试键30’可具有如图4和图5中所示的相同设计,且包含形成阵列的多个半导体鳍片。子测试键30’被随机虚设图案区(或称随机图案区)50互相分开,随机虚设图案区50包含在子测试键30’之间的间隔,以及其他围绕子测试键30’的区域。在测量过程中,入射X光束38可形成光点42覆盖多个子测试键30’和位在子测试键30’之间的随机虚设图案区50。因此,复合测试键30可用作单一测试键。
在子测试键30’内的区域具有重复的图案例如以图5A所示做为范例的阵列。因此,由子测试键30’取得的信号将形成绕射图案,从绕射图案可取得部件参数。另一方面,以适当设计的密度随机地放置半导体鳍片填入随机虚设图案区50,以避免负载效应(loadingeffect)及其他工艺问题。通过复制子测试键30’以具有较大的复合测试键30,且将随机图案填入围绕子测试键30’的区域,复合测试键30的尺寸甚至可设计成比图5A所示的单一图案的测试键30还大,而不会违反设计规则。此外,那些随机虚设图案不是周期性的,且因此不会造成显著的绕射峰。来自那些随机虚设图案的绕射就如同杂讯背景,且不会影响模式的准确度。因此,捕捉绕射图案的影像的测试时间可更进一步的缩短,而不会影响准确度。
图9绘示在示范随机虚设图案区50中的随机图案。所绘示的区域52可以是图8所示的子区域(sub-region)52的其中之一,结合子区域52以形成随机虚设图案区50。根据本公开的一些实施例,复合测试键30的设计包含在整个复合测试键30的区域中有意地设计半导体鳍片36,使其具有一致的间距,以及从随机虚设图案区50中随机地移除一些半导体鳍片,但不是从子测试键30’中移除。移除半导体鳍片的数量及位置是随机的,如图9所示。作为比较,随机虚设图案区50的设计相似于具有重复图案的空隙的阵列,且随机地决定是否在阵列的每一个空隙中放置半导体鳍片。由于可在如图2所示的相同工艺中蚀刻不想要的半导体鳍片,使用上述方式来设计和形成在随机虚设图案区50中的鳍片可简化制造过程,在上述制造过程中长的鳍片被切割成较短的鳍片。
根据本公开另一些实施例,在随机虚设图案区50中的鳍片的尺寸、间距及位置具有随机图案,且在鳍片与鳍片之间可改变。另外,在随机虚设图案区50中的鳍片可与子测试键30’中的鳍片不同。
根据本公开另一些实施例,随机虚设图案区50具有伪随机图案(pseudo-randompattern),如图10所示,其表示在多个区域52中的鳍片36的图案是随机的,如前面段落所述。然而,随机虚设图案区50是由彼此相同的重复随机图案区52形成。为了维持随机图案的性质,每一个随机图案区52的长度LA1及宽度WA1应远大于各自的间距,以避免其绕射峰与测试键的绕射峰重叠。根据本公开的一些实施例,随机图案区52的宽度WA1可大于间距P1(或间距P2)的10倍,其中间距P1(或间距P2)是测试键30的鳍片间距。通过将随机图案区52设计成伪随机,设计过程容易且不会牺牲绕射图案的品质。
请再参照图8,应理解的是,X光束38的光点42覆盖子测试键30’及随机虚设图案区50两者,且因此反射的X光束40包含来自随机虚设图案区50的散射信号。然而,由于随机虚设图案区50中的鳍片为随机的,来自随机虚设图案区50的散射信号将在绕射图案中反映为白色杂讯,且因此将不会影响测量结果。
在形成虚设栅极和使半导体鳍片凹陷之后,根据本公开的一些实施例的测试键也可用以测量凹陷的宽度、间距及深度。请参照图11,在图5A所示的测试键上形成多个栅极结构56。所形成的结构也是测试键,其可被称为测试键130。栅极结构56形成为加长条状,其长度方向垂直于半导体鳍片36的长度方向的。栅极结构56跨越半导体鳍片36,且每一个栅极结构56从测试键130的一端延伸至相对的另一端。此外,栅极结构56互相平行,且可具有间距P3。通过施加至测试键130的相同标记(token),栅极结构56可切为片段,以避免违反设计规则,且不影响分析的绕射图案。
图12绘示图11所示结构的一部分的透视图,其中所示的部分为在图11的区域53内。根据本公开的一些实施例,如图12所示,每一个栅极结构56皆包含虚设栅极氧化物54A、虚设栅极电极54B及硬掩模54C。虚设栅极电极54B可由多晶硅(polysilicon)形成,且硬掩模54C可由氮化硅形成。在虚设栅极氧化物54A、虚设栅极电极54B及硬掩模54C的两侧形成栅极间隙壁58。栅极结构56覆盖半导体鳍片36的一些部分。蚀刻半导体鳍片36的未被覆盖的部分以形成凹陷60,凹陷60延伸至浅沟槽隔离区32内。
如图11及图12所示,可将X光束38投射在晶片10上,且从散射的X光束产生绕射图案,其可以是反射或透射的X光束。从绕射图案可发现凹陷60的宽度、深度、邻近度(proximity)及间距。此外,也可发现栅极结构56的高度、宽度及间距。可了解的是,可能需要多个绕射图案的影像以得到上述参数。此外,如果入射X光束38平行于X方向(当由上视观察晶片10时),单一绕射图案的影像可透露凹陷60的宽度、深度及间距,并且需要使用不同入射角或方位角得到多个影像,以得到栅极结构56的高度、宽度及间距,以及凹陷60的邻近度(proximity)。反之,如果入射X光束38平行于Y方向(当由上视观察图12中的晶片10时),单一绕射图案的影像可透露栅极结构56的高度、宽度及间距,以及凹陷60的邻近度,并且需使用多个影像以得到凹陷60的宽度、深度及间距。可理解的是得到结构的详细信息可包含有关于模拟临界尺寸(CD)参数与绕射强度图案的精细的(subtle)模拟(modeling)及回归(regression)。根据本公开实施例的测试键亦可用于测量源极与漏极的临界尺寸,且在形成金属栅极之后,也可用于测量高介电常数(high-k)材料与金属层的栅极高度、宽度、间距与厚度。
为了简化模拟,测试键时常可有目的地设计成与真实结构稍微不同且更简化。图13及图14显示类二维(quasi-2D)范例以测量高介电常数(high-k)材料与金属的厚度。在图13中,通过移除虚设多晶硅在半导体鳍片36上方的介电层上形成多个凹陷64。半导体鳍片36可具有与图5A所示的相似的设计。所产生的结构是如图13所示的测试键230。形成具有小的上视面积的凹陷64是有益的,因此可在大面积中形成多个凹陷,而不会违反设计规则。可在测试键230的上视图中形成具有矩形或方形形状的凹陷64。根据本公开一些实施例,凹陷64具有由设计规则决定的间隔S2及S3。间隔S2及S3也可以是设计规则可容许的最小可容许间隔。举例而言,间隔S2及S3可以小于约200纳米。凹陷64的长度L3及宽度W3应大于间隔S2及S3,且可为约10微米。凹陷64也可形成阵列,阵列在X方向中测得间距P4,且在Y方向中测得间距P5。每一个凹陷64可覆盖多个鳍片36。
图14绘示图13所示结构的一部分的剖面示意图,其中从剖面示意图是从图13中的剖面线14-14的平面所得到。根据本公开一些实施例,形成层间介电层(Inter-layerdielectric,ILD)66以覆盖半导体鳍片36(亦参照图13),且通过蚀刻部分的层间介电层(ILD)66形成凹陷64。在蚀刻之后,在每一个凹陷64内露出半导体鳍片36及浅沟槽隔离区32。接着在鳍片36的露出的侧壁上形成界面层(interfacial layer)68,例如通过热氧化或化学氧化,且界面层68可包含氧化硅。形成高介电常数介电层70及金属层72为延伸至凹陷64内的顺应性的层,且高介电常数介电层70及金属层72包含在层间介电层66上方的部分。高介电常数介电层70可由氧化铝、氧化镧、氧化铪或其他类似材料形成。金属层72可由氮化钛(TiN)、氮化钽(TaN)、铝化钛(TiAl)、钴(Co)或其他类似材料形成。
如图13及图14所示,X光束38可投射至晶片10上,且从晶片10反射或穿透晶片10的散射的X光束产生绕射图案。从绕射图案可发现图14所示各种参数,例如高介电常数介电层70与金属层72的厚度,以及凹陷64的深度。可了解的是,不同材料例如高介电常数介电层70与金属层72由于具有相同的间距,这些材料的绕射峰实际上在相同的绕射图案上重叠。然而,当材料(例如氧化硅与氮化钛)的电子密度的差异够大时,仍可分辨出不同材料的个别的绕射峰,因此仍可以合适的模式决定材料的厚度。
根据本公开实施例设计和制造的测试键由于其具有大的测试键尺寸及高绕射强度,可用于决定制造过程中的各种参数。这些测试键也可与形成集成电路的实际部件同时形成,因此通过测量测试键,可决定实际部件的品质。举例而言,除了前述实施例所测量的参数之外,根据本公开实施例的测试键也可用于决定间距偏移,以测量虚设栅极电极是否有形成在半导体鳍片之间的孔洞,测量虚设栅极电极(例如多晶硅)的轮廓及临界尺寸,测量移除虚设栅极所形成的凹陷中的鳍片高度,当将长的虚设栅极电极切割成较短的虚设栅极电极时,决定虚设栅极电极的轮廓,决定各种线(例如虚设栅极及金属栅极、内连线结构中的金属线等)的线边缘粗糙度及线宽粗糙度,以及决定铜线的阶梯高度和凹陷程度。
本公开实施例具有一些有优势的部件。通过形成测试键使测试键中的部件被断裂成更小的部件,而非让部件延伸遍及测试键的全长或全宽,可在不违反设计规则的情况下,形成较大的测试键。所形成的测试键可因此大于X光束的光点尺寸,因此X光束不会收到来自测试键以外的部件的信号。这可加快使用X光散射测量的量测,且由于整个X光的光点可落入测试键的边界内,并且没有位在测试键外的信号反映在绕射图案中,因此也可改善绕射图案的品质。
根据本公开一些实施例,提供X光散射测量的方法,其包含形成测试键。形成测试键包含形成第一多个半导体条,且将第一多个半导体条切割成第二多个半导体条的阵列,其中阵列的每一列是由第一多个半导体条中的一条形成,在第二多个半导体条之间的凹陷中形成隔离区,以及将隔离区凹陷。第二多个半导体条的顶部凸出高于隔离区,以形成半导体鳍片,半导体鳍片形成鳍片阵列。将X光束投射在测试键上。从测试键散射的散射的X光束得到绕射图案。在一实施例中,使用一制造技术形成第一及第二多个半导体条,且在同一列中的第二多个半导体条的间隔是此制造技术的最小间隔。在一实施例中,第一多个半导体条具有一致的间距。在一实施例中,阵列具有在鳍片阵列的各列之间的第一均等间距,以及在鳍片阵列的各行之间的第二均等间距。在一实施例中,此方法更包含从绕射图案中决定参数,参数选自于半导体鳍片的间距、半导体鳍片的宽度以及半导体鳍片的高度。在一实施例中,形成测试键更包含形成多个栅极结构覆盖半导体鳍片的第一部分,以及蚀刻半导体鳍片的第二部分延伸在多个栅极结构之外,以形成凹陷。在一实施例中,形成测试键更包含在半导体鳍片上方形成层间介电层,在层间介电层中形成凹陷的阵列,以露出部分的半导体鳍片,以及形成高介电常数介电层延伸至凹陷的阵列内。在一实施例中,形成测试键更包含在高介电常数介电层上方形成金属层。在一实施例中,测试键是包含多个子测试键的复合测试键,其中每一个子测试键包含多个半导体鳍片形成子阵列,以及填入子测试键之间的空隙的半导体鳍片的随机图案。在一实施例中,测试键具有非矩形的轮廓线。
根据本公开一些实施例,提供X光散射测量的方法,此方法包含形成半导体鳍片的阵列,其中半导体鳍片具有相同长度,且此阵列具有复数列及复数行;在半导体鳍片上方形成额外部件,其中额外部件包含至少复数行,每一行都在半导体鳍片的行上方;从半导体鳍片及额外部件的阵列得到X光绕射图案,以及从X光绕射图案决定额外部件的尺寸。在一实施例中,形成额外部件包含形成栅极结构,每一个栅极结构延伸遍及半导体鳍片的整个行。在一实施例中,形成额外部件更包含蚀刻半导体鳍片未被栅极结构覆盖的部分,以形成延伸至隔离区内的凹陷,其中决定尺寸包含决定凹陷的深度。在一实施例中,形成额外部件更包含在半导体鳍片上方形成层间介电层,蚀刻层间介电层以形成延伸至层间介电层内的额外凹陷阵列,形成高介电常数介电层延伸至额外凹陷阵列内,以及在高介电常数介电层上方形成金属层,其中决定额外部件的尺寸包含决定高介电常数介电层的厚度与金属层的厚度。在一实施例中,得到X光绕射图案包含将X光束投射至阵列及额外部件上,以及从阵列及额外部件散射的散射的X光束接收X光绕射图案。在一实施例中,此方法更包含在阵列周围形成多个半导体鳍片,其中这些半导体鳍片是以随机图案分布。在一实施例中,半导体鳍片的阵列具有大于约50微米乘以50微米的尺寸。
根据本公开一些实施例,提供X光散射测量的方法。此方法包含形成测试键,其包含形成半导体鳍片的阵列,其中半导体鳍片具有相同的长度,且阵列具有复数行及复数列,在半导体鳍片上方形成多个栅极结构,其中这些栅极结构大致均匀地分布于阵列各处,且这些栅极结构的长度方向垂直于半导体鳍片的长度方向,以及蚀刻半导体鳍片未被这些栅极结构覆盖的部分,以形成凹陷延伸至隔离区内。此方法更包含从测试键得到X光绕射图案,以及从X光绕射图案决定半导体鳍片及这些栅极结构的尺寸。在一实施例中,这些栅极结构中的每一个从阵列的第一端延伸至阵列的相对的第二端。在一实施例中,决定尺寸包含决定凹陷的深度及半导体鳍片的间距、宽度与高度的其中至少一者。
前述内文概述了许多实施例的部件,使本领域普通技术人员可以从各个方面更加了解本公开实施例。本领域普通技术人员应可理解,可轻易地以本公开实施例为基础来设计或修饰其他工艺及结构,以实现与在此介绍的实施例相同的目的及/或达到相同的优点。本领域普通技术人员也应了解,这些等效的结构并未背离本公开的构思与范围。在不背离本公开的构思与范围的前提下,可对本公开实施例进行各种改变、置换及修改。

Claims (25)

1.一种X光散射测量的方法,包括:
形成一测试键,包括:
形成一第一多个半导体条;
将该第一多个半导体条切割成一第二多个半导体条的一阵列,其中该阵列的每一列是由该第一多个半导体条中的一条形成;
在位在该第二多个半导体条之间的凹陷内形成一隔离区;
将该隔离区凹陷,其中该第二多个半导体条的顶部凸出高于该隔离区,以形成多个半导体鳍片,且所述多个半导体鳍片形成一鳍片阵列;
在所述多个半导体鳍片上方形成一层间介电层;
在该层间介电层中形成多个凹陷的一阵列,以露出部分的所述多个半导体鳍片;以及
将一X光束投射在该测试键上;以及
从该测试键散射的散射X光束得到一绕射图案,
其中该测试键是一复合测试键,包括:
多个子测试键,其中每一个子测试键包括所述多个半导体鳍片,形成一子阵列;以及
多个半导体鳍片的多个随机图案,填入所述多个子测试键之间的多个空隙,其中通过随机地移除所述多个子测试键之间的所述多个空隙中的所述多个半导体鳍片的一部分,形成所述多个随机图案。
2.如权利要求1所述的X光散射测量的方法,其中使用一制造技术形成该第一多个半导体条及该第二多个半导体条,且在同一列中的该第二多个半导体条的间隔是该制造技术的最小间隔。
3.如权利要求1所述的X光散射测量的方法,其中该第一多个半导体条具有一致的间距。
4.如权利要求1所述的X光散射测量的方法,其中该阵列具有在该鳍片阵列的各列之间的第一均等间距,以及在该鳍片阵列的各行之间的第二均等间距。
5.如权利要求1所述的X光散射测量的方法,还包括从该绕射图案中决定一参数,该参数选自于所述多个半导体鳍片的间距、所述多个半导体鳍片的宽度以及所述多个半导体鳍片的高度。
6.如权利要求1所述的X光散射测量的方法,其中形成该测试键还包括:
形成多个栅极结构覆盖所述多个半导体鳍片的第一部分;以及
蚀刻所述多个半导体鳍片延伸在所述多个栅极结构之外的第二部分,以形成所述多个凹陷。
7.如权利要求1所述的X光散射测量的方法,其中形成该测试键还包括:
形成一高介电常数介电层延伸至所述多个凹陷的阵列内。
8.如权利要求7所述的X光散射测量的方法,其中形成该测试键还包括在该高介电常数介电层上方形成一金属层。
9.如权利要求1所述的X光散射测量的方法,其中该测试键具有非矩形的轮廓线。
10.一种X光散射测量的方法,包括:
形成多个半导体鳍片的一阵列,其中所述多个半导体鳍片具有相同长度,且该阵列具有多个列及多个行;
在所述多个半导体鳍片上方形成多个额外部件,其中所述多个额外部件包括至少多个行,且所述多个行的每一行都在所述多个半导体鳍片的一行上方,其中形成所述多个额外部件包括:
在所述多个半导体鳍片上方形成一层间介电层;
蚀刻该层间介电层以形成延伸至该层间介电层内的额外凹陷阵列;以及
从所述多个半导体鳍片的该阵列及所述多个额外部件得到一X光绕射图案,
其中所述多个半导体鳍片的该阵列及所述多个额外部件是一测试键的一部分,且该测试键包括:
多个子测试键,其中每一个子测试键包括所述多个半导体鳍片的一子阵列;以及
多个半导体鳍片的多个随机图案,填入所述多个子测试键之间的多个空隙,其中通过随机地移除所述多个子测试键之间的所述多个空隙中的所述多个半导体鳍片的一部分,形成所述多个随机图案;以及从该X光绕射图案决定所述多个额外部件的尺寸。
11.如权利要求10所述的X光散射测量的方法,其中形成所述多个额外部件包括形成多个栅极结构,每一个栅极结构延伸遍及所述多个半导体鳍片的整个行。
12.如权利要求11所述的X光散射测量的方法,其中形成所述多个额外部件还包括:
蚀刻所述多个半导体鳍片未被所述多个栅极结构覆盖的部分,以形成延伸至多个隔离区内的多个凹陷,其中决定尺寸包括决定所述多个凹陷的深度。
13.如权利要求10所述的X光散射测量的方法,其中形成所述多个额外部件还包括:
形成一高介电常数介电层延伸至该额外凹陷阵列内;以及
在该高介电常数介电层上方形成一金属层,其中决定所述多个额外部件的尺寸包括决定该高介电常数介电层的厚度与该金属层的厚度。
14.如权利要求10所述的X光散射测量的方法,其中得到该X光绕射图案包括将一X光束投射至该阵列及所述多个额外部件上,以及从该阵列及所述多个额外部件散射的散射X光束接收该X光绕射图案。
15.如权利要求10所述的X光散射测量的方法,还包括在该阵列周围形成多个半导体鳍片,其中所述多个半导体鳍片是以随机图案分布。
16.如权利要求10所述的X光散射测量的方法,其中所述多个半导体鳍片的该阵列具有大于50微米乘以50微米的尺寸。
17.一种X光散射测量的方法,包括:
形成一测试键,包括:
形成多个半导体鳍片的一阵列,其中所述多个半导体鳍片具有相同的长度,且该阵列具有多个行及多个列;
在所述多个半导体鳍片上方形成多个栅极结构,其中所述多个栅极结构大致均匀地分布于该阵列各处,且所述多个栅极结构的一长度方向垂直于所述多个半导体鳍片的长度方向;以及
蚀刻所述多个半导体鳍片未被所述多个栅极结构覆盖的部分,以形成多个凹陷延伸至多个隔离区内;
其中该测试键是一复合测试键,包括:
多个子测试键,其中每一个子测试键包括所述多个半导体鳍片,
形成一子阵列;以及
多个半导体鳍片的多个随机图案,填入所述多个子测试键之间的多个空隙,其中通过随机地移除所述多个子测试键之间的所述多个空隙中的所述多个半导体鳍片的一部分,形成所述多个随机图案;从该测试键得到一X光绕射图案;以及
从该X光绕射图案决定所述多个半导体鳍片及所述多个栅极结构的尺寸。
18.如权利要求17所述的X光散射测量的方法,其中所述多个栅极结构中的每一个从该阵列的第一端延伸至该阵列相对的第二端。
19.如权利要求17所述的X光散射测量的方法,其中决定尺寸包括决定所述多个凹陷的深度及所述多个半导体鳍片的间距、宽度与高度的其中至少一者。
20.一种X光散射测量的方法,包括:
形成一测试键,包括:
形成一第一多个半导体条;
将该第一多个半导体条切割成一第二多个半导体条的一阵列,
在该第二多个半导体条之间的凹陷内形成多个隔离区;
将该隔离区凹陷,其中该第二多个半导体条的顶部凸出高于该隔离区,以形成多个半导体鳍片;
在所述多个半导体鳍片上方形成一层间介电层;
在该层间介电层中形成多个凹陷的一阵列,以露出部分的所述多个半导体鳍片;以及
其中该测试键是一复合测试键,包括:
多个子测试键,其中每一个子测试键包括所述多个半导体鳍片,
形成一子阵列;以及
多个半导体鳍片的多个随机图案,填入所述多个子测试键之间的多个空隙,其中通过随机地移除所述多个子测试键之间的所述多个空隙中的所述多个半导体鳍片的一部分,形成所述多个随机图案;
将一X光束投射在该测试键上,其中该X光束具有一光点尺寸,且该测试键大于该光点尺寸;以及
从该测试键散射的散射X光束得到一绕射图案。
21.如权利要求20所述的X光散射测量的方法,其中使用一制造技术形成该第二多个半导体条,且在同一列中的该第二多个半导体条的间隔是该制造技术所允许的最小间隔。
22.如权利要求20所述的X光散射测量的方法,还包括从该绕射图案中决定一参数,该参数选自于所述多个半导体鳍片的间距、所述多个半导体鳍片的宽度和所述多个半导体鳍片的高度。
23.如权利要求20所述的X光散射测量的方法,其中形成该测试键还包括:
形成多个栅极结构覆盖所述多个半导体鳍片的第一部分;以及
蚀刻所述多个半导体鳍片延伸在所述多个栅极结构之外的第二部分,以形成多个凹陷。
24.如权利要求20所述的X光散射测量的方法,还包括形成一高介电常数介电层延伸至所述多个凹陷的阵列内,其中形成该测试键还包括在该高介电常数介电层上方形成一金属层。
25.如权利要求20所述的X光散射测量的方法,其中形成该测试键还包括形成一金属层延伸至所述多个凹陷内。
CN201711320393.4A 2017-07-31 2017-12-12 X光散射测量的方法 Active CN109324278B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762538971P 2017-07-31 2017-07-31
US62/538,971 2017-07-31
US15/725,857 2017-10-05
US15/725,857 US10499876B2 (en) 2017-07-31 2017-10-05 Test key design to enable X-ray scatterometry measurement

Publications (2)

Publication Number Publication Date
CN109324278A CN109324278A (zh) 2019-02-12
CN109324278B true CN109324278B (zh) 2023-04-14

Family

ID=65004158

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711320393.4A Active CN109324278B (zh) 2017-07-31 2017-12-12 X光散射测量的方法

Country Status (5)

Country Link
US (2) US10499876B2 (zh)
KR (1) KR102081870B1 (zh)
CN (1) CN109324278B (zh)
DE (1) DE102017127655A1 (zh)
TW (1) TWI743263B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11256379B2 (en) * 2019-09-26 2022-02-22 Samsung Display Co., Ltd. Display device and a method of fabricating the same
CN113467188B (zh) * 2020-03-30 2022-05-13 长鑫存储技术有限公司 半导体结构及其制备方法
US11341629B2 (en) * 2020-07-02 2022-05-24 Pratt & Whitney Canada Corp. Systems and methods for generating an inspection image of an object from radiographic imaging
US11455715B2 (en) * 2021-02-16 2022-09-27 Applied Materials Israel Ltd. Epitaxy metrology in fin field effect transistors

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005175400A (ja) * 2003-12-15 2005-06-30 Canon Inc 露光装置
US20050275850A1 (en) 2004-05-28 2005-12-15 Timbre Technologies, Inc. Shape roughness measurement in optical metrology
TWI236080B (en) * 2004-08-09 2005-07-11 Powerchip Semiconductor Corp An analysis method
US7453065B2 (en) * 2004-11-10 2008-11-18 Canon Kabushiki Kaisha Sensor and image pickup device
US7600916B2 (en) * 2004-12-01 2009-10-13 Jordan Valley Semiconductors Ltd. Target alignment for X-ray scattering measurements
JP4801440B2 (ja) * 2004-12-24 2011-10-26 株式会社半導体エネルギー研究所 半導体装置の作製方法
WO2006075525A1 (en) * 2004-12-24 2006-07-20 Semiconductor Energy Laboratory Co., Ltd. Light exposure apparatus and manufacturing method of semiconductor device using the same
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7888736B2 (en) 2007-08-29 2011-02-15 International Business Machines Corporation MUGFET with optimized fill structures
US9112052B2 (en) * 2009-10-14 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in STI regions for forming bulk FinFETs
US9087725B2 (en) * 2009-12-03 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with different fin height and EPI height setting
US8687766B2 (en) * 2010-07-13 2014-04-01 Jordan Valley Semiconductors Ltd. Enhancing accuracy of fast high-resolution X-ray diffractometry
US8298913B2 (en) * 2010-10-12 2012-10-30 International Business Machines Corporation Devices with gate-to-gate isolation structures and methods of manufacture
US8779517B2 (en) * 2012-03-08 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-based ESD devices and methods for forming the same
US10013518B2 (en) * 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9093335B2 (en) * 2012-11-29 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Calculating carrier concentrations in semiconductor Fins using probed resistance
US9304403B2 (en) * 2013-01-02 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for lithography alignment
US8822290B2 (en) * 2013-01-25 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8895446B2 (en) * 2013-02-18 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin deformation modulation
US9318621B2 (en) * 2013-03-08 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Rotated STI diode on FinFET technology
US9885962B2 (en) * 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9159552B2 (en) * 2013-12-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a germanium-containing FinFET
US9588066B2 (en) * 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
US10401309B2 (en) * 2014-05-15 2019-09-03 Sigray, Inc. X-ray techniques using structured illumination
US9390888B2 (en) * 2014-05-23 2016-07-12 Industrial Technology Research Institute Apparatus and method of applying small-angle electron scattering to characterize nanostructures on opaque substrate
US20160148850A1 (en) * 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
US9673112B2 (en) * 2015-02-13 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor fabrication with height control through active region profile
JP6502705B2 (ja) * 2015-03-03 2019-04-17 キヤノン株式会社 形成方法
US10060865B2 (en) * 2015-03-10 2018-08-28 Lyncean Technologies, Inc. Measurement of critical dimensions of nanostructures using X-ray grazing incidence in-plane diffraction
JP6602388B6 (ja) * 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置
US10545104B2 (en) * 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
WO2016187062A1 (en) * 2015-05-15 2016-11-24 Kla-Tencor Corporation System and method for focus determination using focus-sensitive overlay targets
US10096712B2 (en) * 2015-10-20 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming and monitoring quality of the same
CN108431692B (zh) * 2015-12-23 2021-06-18 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
JP6999268B2 (ja) 2016-01-11 2022-01-18 ブルカー テクノロジーズ リミテッド X線スキャタロメトリーのための方法および装置
US10504759B2 (en) * 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
US9728492B1 (en) * 2016-05-24 2017-08-08 Infineon Technologies Ag Strip testing of semiconductor devices
US10032728B2 (en) * 2016-06-30 2018-07-24 Alpha And Omega Semiconductor Incorporated Trench MOSFET device and the preparation method thereof
US10032908B1 (en) * 2017-01-06 2018-07-24 Sandisk Technologies Llc Multi-gate vertical field effect transistor with channel strips laterally confined by gate dielectric layers, and method of making thereof
TWI713219B (zh) * 2017-04-24 2020-12-11 聯華電子股份有限公司 半導體元件及其製作方法

Also Published As

Publication number Publication date
KR102081870B1 (ko) 2020-02-26
TW201910759A (zh) 2019-03-16
KR20190013412A (ko) 2019-02-11
DE102017127655A1 (de) 2019-01-31
TWI743263B (zh) 2021-10-21
US20200037979A1 (en) 2020-02-06
US11071513B2 (en) 2021-07-27
CN109324278A (zh) 2019-02-12
US10499876B2 (en) 2019-12-10
US20190029634A1 (en) 2019-01-31

Similar Documents

Publication Publication Date Title
US11071513B2 (en) Test key design to enable X-ray scatterometry measurement
KR20200018824A (ko) 다색 연엑스선 회절에 기초한 반도체 계측을 위한 방법 및 시스템
US8072601B2 (en) Pattern monitor mark and monitoring method suitable for micropattern
US7720632B2 (en) Dimension measuring apparatus and dimension measuring method for semiconductor device
US9455206B2 (en) Overlay measuring method and system, and method of manufacturing semiconductor device using the same
JP4864290B2 (ja) 半導体デバイス上の構造の寸法を測定するために使用される、非破壊光波測定(光波散乱計測)(scatterometry)に基づいた測定ツールを較正する方法と構造
US8426857B2 (en) Semiconductor device and method for producing the same
JP2006500771A (ja) 拡散層の横方向拡散の測定
Sendelbach et al. Use of multiple azimuthal angles to enable advanced scatterometry applications
US8975094B2 (en) Test structure and method to facilitate development/optimization of process parameters
US9324178B2 (en) Three-dimensional semiconductor image reconstruction apparatus and method
Zhang et al. Metrology challenges in 3D NAND flash technical development and manufacturing
JP2006500773A (ja) 拡散層の横方向拡散の測定
JP4901076B2 (ja) 測定の信頼度を向上させられる測定用パターンを備える半導体装置及び測定用パターンを利用した半導体装置の測定方法
Seo et al. Non-destructive depth measurement using SEM signal intensity
Kuo et al. Recess gate process control by using 3D SCD in 3xm vertical DRAM
JP2009076863A (ja) 半導体装置の製造方法
CN117038645B (zh) 半导体结构及其制备方法
JP7463551B2 (ja) トレンチの光測定用ターゲット
CN117912979B (zh) 关键尺寸的量测方法及量测结构
US20240133683A1 (en) Overlay measuring method and system, and method of manufacturing a semiconductor device using the same
Settens An assessment of critical dimension small angle x-ray scattering metrology for advanced semiconductor manufacturing
JP2009164452A (ja) 半導体装置の評価方法および半導体ウエハ
Zangooie et al. Characterization of 32nm node BEOL grating structures using scatterometry
Cordes et al. Towards development of a sidewall roughness standard

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant