TW201909254A - 具有犧牲多晶矽層之接觸蝕刻停止層 - Google Patents

具有犧牲多晶矽層之接觸蝕刻停止層 Download PDF

Info

Publication number
TW201909254A
TW201909254A TW106130145A TW106130145A TW201909254A TW 201909254 A TW201909254 A TW 201909254A TW 106130145 A TW106130145 A TW 106130145A TW 106130145 A TW106130145 A TW 106130145A TW 201909254 A TW201909254 A TW 201909254A
Authority
TW
Taiwan
Prior art keywords
layer
sacrificial gate
forming
nitride
over
Prior art date
Application number
TW106130145A
Other languages
English (en)
Other versions
TWI670760B (zh
Inventor
海苟 黃
金晟 高
海峰 盛
金平 劉
明哈 高
輝 臧
Original Assignee
美商格芯(美國)集成電路科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格芯(美國)集成電路科技有限公司 filed Critical 美商格芯(美國)集成電路科技有限公司
Publication of TW201909254A publication Critical patent/TW201909254A/zh
Application granted granted Critical
Publication of TWI670760B publication Critical patent/TWI670760B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種接觸蝕刻停止層,其包括形成於犧牲閘極結構上面的氮化物層與形成於該氮化物層上面的多晶矽層。在後續製程期間,該多晶矽層適合氧化且形成氧化物層。該多晶矽層的氧化有效遮蔽底下的氮化物接觸蝕刻停止層免受氧化,這保護該氮化物層的機械完整性。

Description

具有犧牲多晶矽層之接觸蝕刻停止層
本申請案大體有關於半導體裝置,且更特別的是,有關於例如場效電晶體的電晶體及其製法。
製造例如場效電晶體(field effect transistor;FET)的半導體裝置通常涉及由層沉積、圖案化及蝕刻組成的多個步驟以在基板上界定各種結構。使用例如間隔體及帽蓋層的整合方案可用來精確地界定各個導電及絕緣結構,從而最小化相鄰導電結構之間的洩漏以改善裝置效能。
在某些辦法中,接觸蝕刻停止層(contact etch stop layer;CESL)可加入製造方案使得有可能選擇性移除一或更多層。不過,充分選擇性蝕刻製程的無法利用,且跟著接觸蝕刻停止層可能受損而導致受保護層受損,這對製造生產量及良率有不利影響。
鑑於上述,揭露一種方法用於形成具有改良接觸蝕刻停止層的半導體結構。該接觸蝕刻停止層包括多晶矽犧牲層。在可能氧化及損傷接觸蝕刻停止層和接觸蝕 刻停止層底下諸層的製程期間,該多晶矽層本身可氧化且抑制這些層的氧化。
根據本申請案的具體實施例,一種製造裝置之方法包括形成一犧牲閘極結構於一半導體基板上面,其中該犧牲閘極結構包括一犧牲閘極與形成於該犧牲閘極上面的一犧牲閘極間隙,形成一側壁間隔體層於該犧牲閘極結構的數個側壁上面,且形成一氮化物接觸蝕刻停止層於該側壁間隔體層上面。然後,形成一多晶矽層於該氮化物層的數個上部上面,且形成一氧化物層於該多晶矽層上面,其中,在該氧化物層的氧化期間,該多晶矽層會被氧化。
根據另一方法,在一半導體基板上面形成各自包括一犧牲閘極與形成於該犧牲閘極上面之一犧牲閘極間隙的數個犧牲閘極結構。形成一側壁間隔體層於該數個犧性閘極結構的側壁上面,且形成一氮化物接觸蝕刻停止層於該側壁間隔體層上面。
該方法更包括:形成一流動性氧化物層於在相鄰犧牲閘極結構之間的間隙內且於該氮化物層的數個下部上面,形成一多晶矽層於該氮化物層的數個上部上面,且形成一高密度電漿(HDP)氧化物層於該多晶矽層上面,致使該多晶矽層在該HDP氧化物層的形成期間被氧化。
100‧‧‧半導體基板或基板
120‧‧‧半導體鰭片或鰭片
140‧‧‧淺溝槽隔離層
210‧‧‧源極/汲極接面
300‧‧‧犧牲閘極結構
310‧‧‧犧牲閘極或多晶矽閘極
320‧‧‧犧牲閘極帽蓋
410‧‧‧側壁間隔體
510‧‧‧氮化物接觸蝕刻停止層(CESL)或氮化物層
520‧‧‧犧牲多晶矽層或多晶矽層
530‧‧‧氧化物層
610‧‧‧流動性氧化物層、沉積層或介電層
620‧‧‧高密度電漿(HDP)氧化物層、氧化物層或介電層
800‧‧‧間隙
閱讀時結合下列附圖可充分明白以下本申請案之特定具體實施例的詳細說明,其中類似的結構用相同 的元件符號表示。
第1圖的透射電子顯微鏡(transmission electron microscope;TEM)顯微圖圖示包括共形氮化物接觸蝕刻停止層的半導體結構,該共形氮化物接觸蝕刻停止層是在用流動性介電質材料填滿相鄰犧牲閘極結構之間的間隙以及使介電質材料凹陷之後設置於相鄰犧牲閘極結構上面;第2圖的透射電子顯微鏡(TEM)顯微圖圖示第1圖之結構的數個部份,包括氮化物接觸蝕刻停止層及底下側壁間隔體層在高密度電漿沉積一氧化物層於在相鄰犧牲閘極結構之間的數個凹陷區中後的崩解(breakdown);第3圖為根據各種具體實施例之半導體結構的透射電子顯微鏡(TEM)顯微圖,其圖示鄰接犧牲閘極結構的氮化物接觸蝕刻停止層及底下側壁間隔體層在形成犧牲多晶矽層和高密度電漿沉積一氧化物層於數個凹陷區中且於在相鄰犧牲閘極結構之間的多晶矽層上面後的存留(retention);第4圖為裝置架構的橫截面示意圖,其根據各種具體實施例圖示沉積氮化物接觸蝕刻停止層於相鄰犧牲閘極結構上面,以及圖示後續沉積流動性氧化物層於在閘極結構之間的間隙中;第5圖的橫截面示意圖圖示在流動性氧化物層之凹陷蝕刻(recess etch)後的第4圖的裝置架構;第6圖圖示沉積共形多晶矽層於凹陷流動性 氧化物層上面且於犧牲閘極結構上面,包括直接於氮化物接觸蝕刻停止層的數個上部上面;第7圖圖示沉積高密度電漿氧化物直接於多晶矽層上面且於在相鄰犧牲閘極結構之間的間隙的數個上部中以及多晶矽層的伴隨氧化;以及第8圖圖示高密度電漿氧化物的平坦化。
此時參考本申請案之專利標的之各種具體實施例的更詳細細節,附圖圖示本發明的一些具體實施例。諸圖用相同的元件符號表示相同或類似的部件。
揭露於各種具體實施例的是一種製造半導體裝置的方法,例如具有改良接觸蝕刻停止層的鰭片場效電晶體(FinFET)裝置。可給合閘極最後(gate last)或取代金屬閘極(replacement metal gate;RMG)製程實施所揭露的方法。用於製造取代金屬閘極(RMG)電晶體的典型製程流程包括形成暫時性或犧牲閘極,形成附加電晶體結構,然後移除犧牲閘極留下沉積各種材料層(例如,閘極介電質及閘極導體材料)於其中以形成功能閘極的溝槽。此辦法延後形成閘極直到在可能損害閘極材料的製程之後,例如暴露於升高溫度及/或離子植入。如本文所使用的,“功能閘極”係指用來使用電場或在某些情況下使用磁場控制半導體裝置之輸出電流(亦即,通過通道的載子流動)的結構,且包括閘極介電質與閘極導體。
根據各種具體實施例,該方法包括形成犧牲 多晶矽層於氮化物接觸蝕刻停止層(CESL)上面。該多晶矽層適合在後續製程期間優先氧化底下氮化物層及側壁間隔體層。因此,該氮化物接觸蝕刻停止層及側壁間隔體層在一或更多製程步驟期間可有效保護各種裝置特徵。特別是,該CESL適合在接觸蝕刻期間限制特定區域(例如,在相鄰閘極結構之間)的蝕刻。該CESL對於隨後用來蝕刻將會形成接觸之區域的化學物應有良好的抗蝕刻性。
在各種具體實施例中,該多晶矽層從在相鄰犧牲閘極結構之間的位置內直接形成於氮化物接觸蝕刻停止層上面,亦即,於在凹陷蝕刻流動性氧化物後橫向鄰接犧牲閘極堆疊之氮化物接觸蝕刻停止層(CESL)的數個上部上面。本案申請人已發現,在後續製程期間,相對於氮化物CESL層之氧化選擇性地進行多晶矽層的氧化,這可保護氮化物CESL層的完整性且抑制犧牲閘極的非所欲腐蝕。
第1圖的透射電子顯微鏡(TEM)顯微圖圖示包括設置於淺溝槽隔離層140上面之數個犧牲閘極結構300的裝置架構。第1圖圖示在主動裝置區之間的橫截面圖,亦即,圖示沿著在相鄰平行半導體鰭片之間的非接觸橫截面。
犧牲閘極結構300各自包括犧牲閘極310與形成於犧牲閘極310上面的犧牲閘極帽蓋(sacrificial gate cap)320。如熟諳此藝者所知,犧牲閘極310可包括非晶矽或多晶矽,且犧牲閘極帽蓋320可包括氮化物層,例如氮化矽。
側壁間隔體410設置在犧牲閘極結構300的側壁(垂直面)上面。側壁間隔體410的形成可藉由毯覆沉積間隔體材料,接著是定向蝕刻(directional etch),例如反應性離子蝕刻(reactive ion etching;RIE),以從水平面移除間隔體材料。合適側壁材料包括氧化物、氮化物及氮氧化物,例如二氧化矽、氮化矽、氮氧化矽、及低電介質常數(低k)材料,例如非晶碳、碳氧化矽(SiOC)、氮碳氧化矽(SiOCN)及氮碳硼化矽(SiBCN),以及低k介電質材料。如本文所使用的,低k材料具有小於二氧化矽的電介質常數。在某些具體實施例中,側壁間隔體410之厚度為4到20奈米,例如4、10、15或20奈米,包括在上述數值中之任一者之間的範圍。
共形氮化物接觸蝕刻停止層510設置在位於相鄰犧牲閘極結構300之間的側壁間隔體410上面。在某些具體實施例中,氮化物接觸蝕刻停止層510的厚度可在2至6奈米之間,例如2、4或6奈米,包括在上述數值中之任一者之間的範圍。氮化物接觸蝕刻停止層510例如可由原子層沉積(atomic layer deposition;ALD)形成。
如本文所述,層或結構的形成或沉積可能涉及適用於被沉積之材料或層或被形成之結構的一或更多技術。此類技術包括但不限於:化學氣相沉積(chemical vapor deposition;CVD)、低壓化學氣相沉積(low pressure chemical vapor deposition;LPCVD)、電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)、金屬有機 CVD(metal organic CVD;MOCVD)、原子層沉積(ALD)、分子束磊晶(molecular beam epitaxy;MBE)、電鍍、無電電鍍、離子束沉積及物理化學沉積(physical vapor deposition;PVD)技術,例如濺鍍或蒸鍍。
在各種具體實施例中,由彼此可選擇性地蝕刻的材料形成側壁間隔體410及氮化物CESL層510。在數個特定具體實施例中,側壁間隔體410包含氮碳氧化矽(SiOCN),以及氮化物接觸蝕刻停止層510包含氮化矽。
此外,應瞭解,氮化矽與二氧化矽的化合物有各自標稱表示為Si3N4及SiO2的組成物。用語氮化矽及二氧化矽不僅指稱這些化學計量組成物,也指偏離化學計量組成物的氮化物及氧化物組成物。
在一示範實施例中,如部份圖示於第1圖者,直接沉積一層流動性氧化物610於氮化物接觸蝕刻停止層510上面以填滿在犧牲閘極結構300之間的間隙。在各種具體實施例中,流動性材料至少部份填滿間隙然後被轉換成二氧化矽膜。此一製程可用來填滿高深寬比(high aspect ratio)的間隙,包括深寬比在3:1到10:1之間的間隙。
在各種方法中,使用一或更多含矽前驅物與一氧化氣體沉積流動性二氧化矽。示範矽前驅物材料包括但不限於:烷氧基矽烷(alkoxysilanes),例如,四氧甲基環四矽氧烷(tetraoxymethylcyclotetrasiloxane;TOMCTS),八甲基環四矽氧烷(octamethylcyclotetrasiloxane;OMCTS),四 乙氧基矽烷(tetraethoxysilane;TEOS),三乙氧基矽烷(triethoxysilane;TES),三甲氧基矽烷(trimethoxysilane;TriMOS),甲基三乙氧基正矽酸鹽(methyltriethoxyorthosilicate;MTEOS),四甲基正矽酸鹽(tetramethylorthosilicate;TMOS),甲基三甲氧基矽烷(methyltrimethoxysilane;MTMOS),二甲基二甲氧基矽烷(dimethyldimethoxysilane;DMDMOS),二乙氧基矽烷(diethoxysilane;DES),二甲氧基矽烷(dimethoxysilane,DMOS),三苯基乙氧基矽烷(triphenylethoxysilane),1-(三乙氧基矽烷基)-2-(二乙氧基甲基矽烷基)乙烷(1-(triethoxysilyl)-2-(diethoxymethylsilyl)ethane),三第三丁氧基矽醇(tri-t-butoxylsilanol)。示範氧化氣體包括但不限於:臭氧、過氧化氫、氧、水(蒸氣)、及醇類,例如甲醇、乙醇及異丙醇。
在該流動性材料的沉積期間及/或之後,例如,可使用固化/退火製程,緻密化(densify)沉積層610的一部份或全部。根據各種具體實施例,固化/退火製程涉及暴露於在氧化或惰性環境中的蒸氣、熱、紫外線(UV)、電子束(e-beam)、微波、雷射或電漿源。
儘管流動性氧化物的緻密化退火(densification anneal),然而用在貼近填充間隙之頂部(亦即,橫向鄰接犧牲閘極結構300的頂部)有品質較高、較密的氧化物取代流動性氧化物610可能是有利的,以便改善在後續製程期間填滿間隙之暴露氧化物材料的抗蝕刻性。
再參考第1圖,流動性氧化物層610的凹陷蝕刻重新開放間隙在結構之頂部的一部份且暴露氮化物接觸蝕刻停止層510的數個上部。參考第2圖,覆蓋流動性氧化物層610的高密度電漿(HDP)氧化物620可形成於凹陷區內。HDP氧化物通常從合適的含矽前驅物在氧化條件下用高密度電漿化學氣相沉積(HDP-CVD)沉積。
儘管在製造高密度氧化物上有效,然而用來形成高密度電漿(HDP)氧化物層620於凹陷流動性氧化物層610上面的製程條件可能蝕刻氮化物CESL 510的暴露部份,如第2圖所示。已觀察到,與高密度電漿氧化物層620之沉積相關的氧化條件可能對氮化物層510及/或側壁間隔體410有不利影響,導致這些層的抗蝕刻性崩解。在圖示結構中,HDP氧化物沉積已部份移除氮化物CESL 510和橫向鄰接犧性閘極310之頂部的底下側壁間隔體410。
在氮化物層510及側壁間隔體410之崩解導致暴露犧牲閘極310之上部的製程中,犧牲閘極310的相關損傷可能導致相鄰接觸的電氣短路,例如,在隨後形成於閘極間間隙(inter-gate gap)內的源極/汲極接觸與上覆閘極的閘極接觸之間。
應瞭解,第2圖的橫截面圖是沿著主動裝置區繪出,亦即,沿著穿過半導體鰭片120的接觸橫截面,且圖示上覆鰭片120(亦即,鰭片在源極/汲極接面210之間的通道區上面)的數個犧牲閘極結構300。
在各種具體實施例中,半導體裝置可包含鰭 片場效電晶體(FinFET)。在此類具體實施例中,藉由圖案化及蝕刻例如SOI基板之複合基板的半導體層或塊狀半導體基板100的頂部,可形成一或更多半導體鰭片120。
例如,半導體基板100可包含半導體材料,例如矽(Si)或含矽材料。含矽材料包括但不限於:單晶矽、多晶矽、單晶矽鍺(SiGe)、多晶矽鍺、摻有碳的矽(Si:C)、非晶矽、以及由彼等組成的組合及多層。
不過,半導體基板100不限於含矽材料,因為基板100可包含其他半導體材料,包括鍺及化合物半導體,例如砷化鎵(GaAs)、砷化銦(InAs)及其他類似半導體。半導體基板100的數個部份可為非晶、多晶或單晶體。
用來形成鰭片120的蝕刻製程通常包含非等向性蝕刻。在某些具體實施例中,可使用乾蝕刻製程,例如,反應性離子蝕刻(RIE)。在其他具體實施例中,可使用濕化學蝕刻劑。又在其他具體實施例中,可使用乾蝕刻與濕蝕刻的組合。
例如,鰭片120可使用側壁影像轉移(sidewall image transfer;SIT)製程形成,這包括形成間隔體材料於心軸(mandrel)的側壁表面上。該間隔體包括蝕刻選擇性與心軸不同的材料,致使,在間隔體形成後,用蝕刻移除該心軸。然後,各間隔體在界定鰭片的後續蝕刻製程期間用作硬遮罩。
半導體鰭片120通常平行配置且藉由淺溝槽隔離層140互相橫向隔離。增高式(raised)源極/汲極接面 210設置在鰭片120貼近其頂面的部份上面(亦即,源極及汲極區)。如熟諳此藝者所知,源極/汲極接面210可用離子植入或選擇性磊晶形成,視需要使用犧牲閘極結構300作為對準遮罩。例如,根據各種具體實施例,源極/汲極接面210用選擇性磊晶形成於在犧牲閘極結構之間界定於鰭片上面的自對準空腔中。亦即,源極/汲極接面210形成於鰭片120在位於犧牲閘極結構300底下之通道區的任一側上的源極及汲極區上面。
源極/汲極接面210可包含矽(例如,Si)或含矽材料,例如矽鍺(SiGe)。例如,矽鍺源極/汲極接面可併入p-MOS裝置以提供壓縮應力予通道,這可改善載子移動率。
仍參考第2圖,可見,在源極/汲極接觸位置內,亦即,在接觸區內,氮化物接觸蝕刻停止層510係形成於側壁間隔體410上面且於源極/汲極接面210上面。
參考第3圖,且根據各種具體實施例,在相鄰犧牲閘極結構300之間與在源極/汲極接面210上面形成雙重介電層610、620。可形成包括流動性氧化物層610與上覆高密度電漿氧化物層620的介電層而不有害地蝕刻氮化物CESL 510係藉由在使流動性氧化物層610凹陷之後和在沉積高密度電漿氧化物層620之前,形成犧牲多晶矽層直接於氮化物接觸蝕刻停止層510上面。
參考第4圖至第8圖,描述一種形成改良CESL架構之方法。描述於此的是在形成高密度電漿氧化物 層620之前,形成犧牲多晶矽層520於氮化物接觸蝕刻停止層510上面的複合CESL層。在高密度電漿氧化物層620的沉積期間,多晶矽層520被原位氧化以形成附加氧化物層530。
根據各種具體實施例,一種製造例如FinFET裝置之裝置的方法,其包括形成犧牲閘極結構300於半導體基板上面,其中犧牲閘極結構300包括犧牲閘極310與形成於犧牲閘極310上面的犧牲閘極間隙320。形成側壁間隔體層410於犧牲閘極結構300的側壁上面。然後,形成氮化物(CESL)層510於側壁間隔體層410上面。該方法更包括:形成多晶矽層520於氮化物層510的數個上部上面,且形成例如HDP氧化物層620的氧化物層於多晶矽層520上面。在氧化物層620的形成期間,多晶矽層520被完全氧化。
第4圖為圖示沉積共形氮化物接觸蝕刻停止層510於犧牲閘極結構300上面的橫截面示意圖。如圖所示,氮化物層510直接形成於在相鄰犧牲閘極結構300之間的源極/汲極接面210上面且直接於側壁間隔體410上面。ALD製程可用來形成氮化物層510。在相鄰犧牲閘極結構300之間且在氮化物層510上面形成流動性氧化物層610。
第5圖的橫截面示意圖圖示在凹陷蝕刻流動性氧化物層610以開放在相鄰犧牲閘極結構300之間的間隙800之後的第4圖結構。在凹陷蝕刻流動性氧化物層610 後,氮化物層510的數個部份被暴露。在各種具體實施例中,流動性氧化物層610的凹陷蝕刻暴露在犧牲閘極結構300之數個上部上面的氮化物層,致使暴露氮化物層510在間隙800內的數個上部,同時流動性氧化物層610的其餘部份設置在氮化物層510的下部上面。
請參考第6圖,形成共形多晶矽層520於間隙800內且於犧牲閘極結構300上面。在圖示具體實施例中,多晶矽層520直接形成於氮化物層510的數個上部上面,以及直接於犧牲閘極帽蓋320的頂面上面。
可使用低壓化學氣相沉積(LPCVD)技術沉積多晶矽(多晶體矽)層520。例如,可用在低壓高溫分解(pyrolytic decomposition)矽烷氣體來沉積LPCVD多晶矽層,然而可利用其他反應方法。
在凹陷蝕刻流動性氧化物層610和沉積犧牲多晶矽層520後,形成高密度電漿氧化物層620於間隙800內且於犧牲閘極結構300上面。高密度電漿氧化物層620直接形成於犧牲多晶矽層520上面。伴隨高密度電漿氧化物層620之沉積的是多晶矽層520的氧化以形成附加氧化物層530。氧化物層530原位形成於犧牲閘極帽蓋320的數個上部上面且於氮化物接觸蝕刻停止層510的數個上部上面。在某些具體實施例中,多晶矽層520在HDP氧化物層620的沉積期間被完全氧化以形成氧化物層530致使沒有多晶矽材料殘留。
請參考第8圖,在沉積高密度電漿氧化物620 後,可平坦化該結構。“平坦化”為至少運用例如磨擦媒介之機械力的材料移除製程,以產生實質二維表面。平坦化製程可包括化學機械研磨(chemical mechanical polishing;CMP)或磨光。化學機械研磨(CMP)為利用化學反應及機械力兩者以移除材料且平坦化表面的材料移除製程。犧牲閘極帽蓋320可用作平坦化製程的CMP蝕刻停止層。在圖示具體實施例中,氧化物層620的頂面與犧牲閘極帽蓋320的頂面共面。
再參考第3圖,藉由保護氮化物CESL 510及側壁間隔體410以免氧化,可避免在下游製程期間不必要地蝕刻或腐蝕多晶矽閘極310。
所揭示的方法提供一種複合接觸蝕刻停止層(CESL)架構。用接觸蝕刻停止層架構製成的裝置有犧牲閘極腐蝕的減少傾向以及較少的接觸間電氣短路意外。用本方法製成的積體電路有改善的可靠性及效能,以及閘極與源極/汲極接觸之間的洩漏最少,且電路故障的例子減少。
如本文所使用的,單數形式“一(a)”、“一(an)”、及“該(the)”旨在也包括複數形式,除非上下文中另有明確指示。因此,例如,“犧牲閘極結構”的引用包括有兩個或更多此類“犧牲閘極結構”的實施例,除非上下文中另有明確指示。
除非另有明文規定,決非旨在提及於本文的任何方法被理解為它的步驟需要按照特定的順序執行。相應地,在方法請求項沒有實際列舉其步驟將會遵循的順序 或請求項或說明中沒有另外特別說明該等步驟受限於特定順序時,決非旨在暗示任何特定順序。任一請求項中的任何列舉單一或多個特徵或方面可與任何其他請求項或數個請求項中的任何其他列舉特徵或方面排列或組合。
應瞭解,當指例如層、區域或基板的元件形成、沉積或設置於另一元件“上”或“上面”時,它可直接在該另一元件上或者也可存在中介元件。相比之下,當指一元件“直接”在另一元件“上”或“上面”時,不存在中介元件。
儘管使用傳統片語“包含(comprising)”可揭示特定具體實施例的各種特徵、元件或步驟,然而應瞭解,替代具體實施例暗示包括可用傳統片語“由…組成(consisting)”或“實質由…組成(consisting essentially of)”描述者。因此,例如,包含氮化矽之接觸蝕刻停止層的暗示替代具體實施例包括接觸蝕刻停止層實質由氮化矽組成的具體實施例與接觸蝕刻停止層由氮化矽組成的具體實施例。
熟諳此藝者明白,本發明可做出各種修改及變體而不脫離本發明的精神及範疇。由於體現本發明精神及主旨的修改、組合、次組合及變體對熟諳此藝者而言可能出現,因此本發明應被視為涵蓋在隨附申請專利範圍及其等效陳述之範疇內的任何事物。

Claims (19)

  1. 一種製造裝置之方法,其包含:形成一犧牲閘極結構於一半導體基板上面,其中,該犧牲閘極結構包括一犧牲閘極與形成於該犧牲閘極上面的一犧牲閘極間隙;形成一側壁間隔體層於該犧牲閘極結構的數個側壁上面;形成一氮化物層於該側壁間隔體層上面;形成一多晶矽層於該氮化物層的數個上部上面;以及形成一氧化物層於該多晶矽層上面,其中,該多晶矽層在該氧化物層的形成期間被氧化。
  2. 如申請專利範圍第1項所述之方法,其中,該氮化物層係由原子層沉積形成。
  3. 如申請專利範圍第1項所述之方法,其中,該氮化物層包含氮化矽。
  4. 如申請專利範圍第1項所述之方法,其中,該多晶矽層直接形成於該氮化物層的該數個上部上面。
  5. 如申請專利範圍第1項所述之方法,其中,該多晶矽層在該氧化物層的形成期間被完全氧化。
  6. 如申請專利範圍第1項所述之方法,其中,該多晶矽層直接形成於該犧牲閘極帽蓋的一頂面上面。
  7. 如申請專利範圍第1項所述之方法,更包含:在形成該多晶矽層之前,形成一流動性氧化物層於該氮化物層的 數個下部上面。
  8. 如申請專利範圍第7項所述之方法,其中,該多晶矽層形成於該流動性氧化物層的一頂面上面且直接於該氮化物層的該數個上部上面橫向鄰接該犧牲閘極。
  9. 如申請專利範圍第1項所述之方法,其中,該氧化物層包含一高密度氧化物。
  10. 如申請專利範圍第1項所述之方法,其中,形成該犧牲閘極結構包含:形成數個犧牲閘極結構於該半導體基板上面。
  11. 如申請專利範圍第10項所述之方法,更包含:在該數個犧牲閘極結構的相鄰犧牲閘極結構之間形成一源極/汲極接面於該半導體基板上面。
  12. 如申請專利範圍第11項所述之方法,其中,該氮化物層直接形成於該源極/汲極接面上面。
  13. 一種製造裝置之方法,其包含:形成數個犧牲閘極結構於一半導體基板上面,其中,該數個犧牲閘極結構各自包括一犧牲閘極與形成於該犧牲閘極上面的一犧牲閘極間隙;形成一側壁間隔體層於該犧牲閘極結構的數個側壁上面;形成一氮化物層於該側壁間隔體層上面;形成一流動性氧化物層於相鄰犧牲閘極結構之間且於該氮化物層的數個下部上面;形成一多晶矽層於該氮化物層的數個上部上面; 以及形成一氧化物層於該多晶矽層上面,其中,該多晶矽層在該氧化物層的氧化期間被氧化。
  14. 如申請專利範圍第13項所述之方法,更包含:在形成該多晶矽層之前,形成該流動性氧化物層於該相鄰犧牲閘極結構之間。
  15. 如申請專利範圍第13項所述之方法,其中,該多晶矽層在該氧化物層的形成期間被完全氧化。
  16. 如申請專利範圍第13項所述之方法,其中,該氧化物層包含一高密度氧化物。
  17. 如申請專利範圍第13項所述之方法,其更包含:在該相鄰犧牲閘極結構之間形成一源極/汲極接面於該半導體基板上面。
  18. 如申請專利範圍第17項所述之方法,其中,該氮化物層直接形成於該源極/汲極接面上面。
  19. 如申請專利範圍第12項所述之方法,其更包含:從該半導體基板形成數個鰭片,以及形成該數個犧牲閘極結構於該數個鰭片上面。
TW106130145A 2017-07-24 2017-09-04 具有犧牲多晶矽層之接觸蝕刻停止層 TWI670760B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/657,594 US9991363B1 (en) 2017-07-24 2017-07-24 Contact etch stop layer with sacrificial polysilicon layer
US15/657,594 2017-07-24

Publications (2)

Publication Number Publication Date
TW201909254A true TW201909254A (zh) 2019-03-01
TWI670760B TWI670760B (zh) 2019-09-01

Family

ID=62235584

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106130145A TWI670760B (zh) 2017-07-24 2017-09-04 具有犧牲多晶矽層之接觸蝕刻停止層

Country Status (3)

Country Link
US (1) US9991363B1 (zh)
CN (1) CN109300790B (zh)
TW (1) TWI670760B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10629739B2 (en) 2018-07-18 2020-04-21 Globalfoundries Inc. Methods of forming spacers adjacent gate structures of a transistor device
US11728405B2 (en) * 2019-09-28 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Stress-inducing silicon liner in semiconductor devices

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4417439B2 (ja) * 1994-06-29 2010-02-17 フリースケール セミコンダクター インコーポレイテッド エッチング・ストップ層を利用する半導体装置構造とその方法
TW449872B (en) * 1998-11-12 2001-08-11 Hyundai Electronics Ind Method for forming contacts of semiconductor devices
US6198144B1 (en) * 1999-08-18 2001-03-06 Micron Technology, Inc. Passivation of sidewalls of a word line stack
US6346468B1 (en) 2000-02-11 2002-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming an L-shaped spacer using a disposable polysilicon spacer
TW498544B (en) * 2000-03-13 2002-08-11 Tadahiro Ohmi Flash memory device, manufacturing and its dielectric film formation
US6881616B1 (en) * 2001-12-14 2005-04-19 Advanced Micro Devices, Inc. System for forming a semiconductor device and method thereof including implanting through a L shaped spacer to form source and drain regions
DE10230696B4 (de) * 2002-07-08 2005-09-22 Infineon Technologies Ag Verfahren zur Herstellung eines Kurzkanal-Feldeffekttransistors
KR100476690B1 (ko) * 2003-01-17 2005-03-18 삼성전자주식회사 반도체 장치 및 그 제조방법
US6924180B2 (en) * 2003-02-10 2005-08-02 Chartered Semiconductor Manufacturing Ltd. Method of forming a pocket implant region after formation of composite insulator spacers
CN1319153C (zh) * 2003-07-10 2007-05-30 南亚科技股份有限公司 一种沟槽的制造方法
US7405116B2 (en) 2004-08-11 2008-07-29 Lsi Corporation Application of gate edge liner to maintain gate length CD in a replacement gate transistor flow
US7456062B1 (en) * 2004-10-20 2008-11-25 Advanced Micro Devices, Inc. Method of forming a semiconductor device
JP4551795B2 (ja) * 2005-03-15 2010-09-29 Okiセミコンダクタ株式会社 半導体装置の製造方法
CN100517592C (zh) * 2006-04-30 2009-07-22 中芯国际集成电路制造(上海)有限公司 改进浅沟槽隔离间隙填充工艺的方法
US20080026523A1 (en) * 2006-07-28 2008-01-31 Chartered Semiconductor Manufacturing, Ltd And International Business Machines Corporation (Ibm) Structure and method to implement dual stressor layers with improved silicide control
US7517766B2 (en) * 2006-09-12 2009-04-14 United Microelectronics Corp. Method of removing a spacer, method of manufacturing a metal-oxide-semiconductor transistor device, and metal-oxide-semiconductor transistor device
US7732878B2 (en) * 2006-10-18 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with continuous contact etch stop layer
KR100857872B1 (ko) * 2006-12-27 2008-09-10 주식회사 하이닉스반도체 리프팅 방지를 위한 반도체 소자 및 그 제조방법
US7773403B2 (en) * 2007-01-15 2010-08-10 Sandisk Corporation Spacer patterns using assist layer for high density semiconductor devices
US7871923B2 (en) * 2007-01-26 2011-01-18 Taiwan Semiconductor Maufacturing Company, Ltd. Self-aligned air-gap in interconnect structures
KR20080114241A (ko) * 2007-06-27 2008-12-31 주식회사 하이닉스반도체 반도체 소자의 제조방법
CN101635260B (zh) * 2008-07-24 2012-04-04 世界先进积体电路股份有限公司 半导体装置、晶体管及其制造方法
US7943465B2 (en) * 2009-01-26 2011-05-17 Semiconductor Components Industries, Llc Method for manufacturing a semiconductor component
KR101078724B1 (ko) * 2009-02-16 2011-11-02 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
CN102683190A (zh) * 2011-03-07 2012-09-19 中芯国际集成电路制造(上海)有限公司 一种金属栅极及mos晶体管的形成方法
KR101742817B1 (ko) * 2011-08-23 2017-06-02 삼성전자 주식회사 반도체 소자 및 그 제조 방법
US9368603B2 (en) * 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
CN103137451B (zh) * 2011-11-23 2015-12-02 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103515210B (zh) * 2012-06-20 2016-03-23 中芯国际集成电路制造(上海)有限公司 一种后栅极工艺晶体管及其形成方法
CN103545215B (zh) * 2012-07-17 2016-06-29 中国科学院微电子研究所 半导体器件及其制造方法
KR20140020151A (ko) * 2012-08-08 2014-02-18 에스케이하이닉스 주식회사 반도체 소자의 패턴 형성방법
CN103594414B (zh) * 2012-08-17 2016-05-04 华邦电子股份有限公司 沟槽隔离结构及其形成方法
CN106449643A (zh) * 2012-11-01 2017-02-22 北京芯盈速腾电子科技有限责任公司 非挥发性内存单元的制造方法
US10134895B2 (en) * 2012-12-03 2018-11-20 Stmicroelectronics, Inc. Facet-free strained silicon transistor
CN103915341B (zh) * 2013-01-08 2016-12-28 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN104022026B (zh) * 2013-03-01 2016-08-03 中芯国际集成电路制造(上海)有限公司 多晶硅栅极的形成方法
KR20150133235A (ko) * 2013-03-19 2015-11-27 어플라이드 머티어리얼스, 인코포레이티드 다층 패시베이션 또는 식각 정지 tft
US9136340B2 (en) * 2013-06-05 2015-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Doped protection layer for contact formation
CN104347485B (zh) * 2013-08-06 2018-03-30 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US20150061010A1 (en) * 2013-08-27 2015-03-05 International Business Machines Corporation Structure for improved contact resistance and extension diffusion control
CN104465347A (zh) * 2013-09-24 2015-03-25 北大方正集团有限公司 多晶硅表面处理方法及系统
KR102194823B1 (ko) * 2014-03-06 2020-12-24 삼성디스플레이 주식회사 박막 트랜지스터, 박막 트랜지스터 기판, 표시 장치 및 박막 트랜지스터 제조 방법
US9685383B2 (en) * 2015-05-13 2017-06-20 United Microelectronics Corp. Method of forming semiconductor device
CN106257645A (zh) * 2015-06-16 2016-12-28 北大方正集团有限公司 Cmos器件的制作方法
CN106601679B (zh) * 2015-10-15 2019-09-27 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法、电子装置
CN106611696B (zh) * 2015-10-21 2019-07-12 国网智能电网研究院 一种碳化硅表面氧化膜的制备方法
CN106856172B (zh) * 2015-12-09 2019-12-31 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
TWI688042B (zh) * 2016-07-05 2020-03-11 聯華電子股份有限公司 半導體元件的製作方法

Also Published As

Publication number Publication date
US9991363B1 (en) 2018-06-05
CN109300790A (zh) 2019-02-01
TWI670760B (zh) 2019-09-01
CN109300790B (zh) 2021-11-02

Similar Documents

Publication Publication Date Title
US10644154B2 (en) Semiconductor device and manufacturing method thereof
CN108231888A (zh) 半导体器件及其制造方法
US9461110B1 (en) FETs and methods of forming FETs
CN105810738B (zh) 半导体器件及其制造方法
US10121880B2 (en) Fin field-effect transistor and fabrication method thereof
KR101946765B1 (ko) 반도체 디바이스 및 그 제조 방법
TW201705240A (zh) 製造半導體裝置的方法及半導體場效電晶體裝置
US9870951B2 (en) Method of fabricating semiconductor structure with self-aligned spacers
CN109841681A (zh) 半导体器件的层间介电结构中的衬垫结构
CN109411537B (zh) 复合接触蚀刻停止层
KR20170006241A (ko) 반도체 디바이스 및 그 제조 방법
US8748239B2 (en) Method of fabricating a gate
TWI670760B (zh) 具有犧牲多晶矽層之接觸蝕刻停止層
TWI796741B (zh) 形成電晶體及接觸插塞的方法及積體電路結構
US9515169B2 (en) FinFET and method of manufacturing same
US10872762B2 (en) Methods of forming silicon oxide layer and semiconductor structure
US20110012226A1 (en) Semiconductor device and method for manufacturing the same
CN103839818A (zh) 半导体器件制造方法
CN105702680B (zh) 一种半导体器件及其制造方法
CN105702618A (zh) 一种半导体器件及其制造方法
TW202331934A (zh) 半導體裝置結構之形成方法
CN106558613A (zh) 一种n型鳍式场效应晶体管及制造方法
CN105489491A (zh) 一种半导体器件及其制造方法
CN105826382A (zh) 半导体器件制造方法
KR20080099486A (ko) Soi 구조를 갖는 반도체 소자 및 그 제조 방법