TW201905985A - 半導體裝置的製作方法與降低半導體裝置其閘極側壁間隔物的介電常數的方法 - Google Patents

半導體裝置的製作方法與降低半導體裝置其閘極側壁間隔物的介電常數的方法

Info

Publication number
TW201905985A
TW201905985A TW107120768A TW107120768A TW201905985A TW 201905985 A TW201905985 A TW 201905985A TW 107120768 A TW107120768 A TW 107120768A TW 107120768 A TW107120768 A TW 107120768A TW 201905985 A TW201905985 A TW 201905985A
Authority
TW
Taiwan
Prior art keywords
gate
layer
semiconductor device
fluorine
forming
Prior art date
Application number
TW107120768A
Other languages
English (en)
Other versions
TWI679688B (zh
Inventor
王參群
聶俊峰
戴巧婷
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201905985A publication Critical patent/TW201905985A/zh
Application granted granted Critical
Publication of TWI679688B publication Critical patent/TWI679688B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7856Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/2822Making the insulator with substrate doping, e.g. N, Ge, C implantation, before formation of the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

半導體裝置的製作方法包括形成鰭狀物,且鰭狀物沿著第一方向延伸於半導體基板上;以及形成犧牲閘極結構,犧牲閘極結構沿著第二方向延伸於鰭狀物上,且第二方向實質上垂直於第一方向。犧牲閘極結構包括犧牲閘極介電層,與犧牲閘極介電層上的犧牲閘極層。形成相對的多個閘極側壁間隔物,且閘極側壁間隔物沿著第二方向延伸於犧牲閘極層的兩側上。移除犧牲閘極層以形成閘極空間。在移除犧牲閘極層之後,進行第一氟佈植以佈植氟至該閘極側壁間隔物中。移除犧牲閘極介電層,並形成高介電常數的閘極介電層於閘極空間中。在形成高介電常數的閘極介電層之後,進行第二氟佈植以佈植氟至閘極側壁間隔物與鰭狀物中。

Description

半導體裝置的製作方法與降低半導體裝置其閘極側壁間隔物的介電常數的方法
本發明實施例關於半導體裝置(如環狀振盪器)其效能的改良方法,比如降低裝置中的有效電容。
高介電常數(如介電常數大於5.0)的材料可作為半導體裝置中的絕緣間隔物材料。為改良裝置效能,亟需改良材料的介電常數值。此外,當摻質自半導體裝置的源極/汲極區擴散至通道區時,會降低裝置效能。因此亟需避免摻質擴散至通道區中。
本發明一實施例提供之半導體裝置的製作方法,包括:形成鰭狀物,且鰭狀物沿著第一方向延伸於半導體基板上;形成犧牲閘極結構,犧牲閘極結構沿著第二方向延伸於鰭狀物上,且第二方向實質上垂直於第一方向;其中犧牲閘極結構包括犧牲閘極介電層,與犧牲閘極介電層上的犧牲閘極層;形成相對的多個閘極側壁間隔物,且閘極側壁間隔物沿著第二方向延伸於犧牲閘極層的兩側上;移除犧牲閘極層以形成閘極空間;在移除犧牲閘極層之後,進行第一氟佈植以佈植氟至閘 極側壁間隔物中;移除犧牲閘極介電層;形成高介電常數的閘極介電層於閘極空間中;以及在形成高介電常數的閘極介電層之後,進行第二氟佈植以佈植氟至閘極側壁間隔物與鰭狀物中。
本發明一實施例提供之半導體裝置的製作方法,包括:形成多個鰭狀物,且鰭狀物沿著第一方向延伸於半導體基板上,其中半導體基板包括第一區與第二區,且鰭狀物形成於半導體基板的第一區與第二區上;形成多個犧牲閘極結構,且犧牲閘極結構沿著第二方向延伸於鰭狀物上,且第一方向實質上垂直於第二方向,其中犧牲閘極結構各自包含犧牲閘極介電層,與犧牲閘極介電層上的犧牲閘極層;形成相對的多個閘極側壁間隔物,且閘極側壁間隔物沿著第二方向延伸於犧性閘極層的兩側上;自犧牲閘極結構移除犧牲閘極層,以形成多個閘極空間;遮蔽第一區;在移除犧牲閘極層之後,進行第一氟佈植以佈植氟至第二區中的閘極側壁間隔物與鰭狀物中;移除第二區中的犧牲閘極介電層;形成多個高介電常數的閘極介電層於第二區中的閘極空間中;以及在形成高介電常數的閘極介電層之後,進行第二氟佈植以佈植氟至第二區中的閘極側壁間隔物與鰭狀物中。
本發明一實施例提供之降低半導體裝置其閘極側壁間隔物的介電常數的方法,包括:進行第一氟佈植以佈植氟至半導體裝置中相鄰的一對閘極側壁間隔物中;形成高介電常數的閘極介電層於相鄰的閘極側壁間隔物之間;形成阻障層於高介電常數的閘極介電層上;以及在形成阻障層之後,進行第 二氟佈植以佈植氟至半導體裝置的閘極側壁間隔物與通道區中。
H1‧‧‧高度
S1‧‧‧距離
S210、S220、S230、S240、S250、S260、S270、S280、S290、S300、S310、S320‧‧‧步驟
W1‧‧‧寬度
10‧‧‧基板
15‧‧‧鰭狀物
20‧‧‧隔離絕緣層
25‧‧‧犧性閘極介電層
30‧‧‧犧牲閘極層
35‧‧‧源極/汲極區
40‧‧‧閘極側壁間隔物
45‧‧‧第一側壁間隔物層
50‧‧‧第二側壁間隔物層
52‧‧‧犧牲閘極結構
54‧‧‧通道區
55‧‧‧層間介電層
60‧‧‧閘極空間
65‧‧‧第一區
70‧‧‧第二區
75‧‧‧遮罩
80‧‧‧界面層
85‧‧‧高介電常數的閘極介電層
90‧‧‧阻障層
95‧‧‧蓋層
100‧‧‧第一氟佈植
110‧‧‧金屬閘極
115‧‧‧左上角部份
120‧‧‧右上角部份
125‧‧‧右下角部份
130‧‧‧左下角部份
135‧‧‧第二閘極空間
140‧‧‧第二氟佈植
200‧‧‧方法
第1圖係本發明一實施例中,製作半導體裝置的方法其流程圖。
第2A與2B圖係本發明一實施例中,用於形成半導體裝置的製程階段其剖視圖,且第2B圖的剖面垂直於第2A圖的剖面。
第3圖係本發明一實施例中,用於形成半導體裝置的製程階段其剖視圖。
第4A與4B圖係本發明一實施例中,用於形成半導體裝置的製程階段其剖視圖,且第4B圖的剖面垂直於第4A圖的剖面。
第5圖係本發明一實施例中,用於形成半導體裝置的製程階段其剖視圖。
第6圖係本發明一實施例中,用於形成半導體裝置的製程階段其剖視圖。
第7圖係本發明一實施例中,用於形成半導體裝置的製程階段其剖視圖。
第8圖係本發明一實施例中,用於形成半導體裝置的製程階段其剖視圖。
第9圖係本發明一實施例中,用於形成半導體裝置的製程階段其剖視圖。
第10圖係本發明一實施例中,多種佈植步驟的平面圖。
第11圖係本發明一實施例中,用於形成半導體裝置的製程 階段其剖視圖。
第12圖係本發明一實施例中,用於形成半導體裝置的製程階段其剖視圖。
第13圖係本發明一實施例中,用於形成半導體裝置的製程階段其剖視圖。
第14圖係本發明一實施例中,用於形成半導體裝置的製程階段其剖視圖。
第15圖係本發明一實施例中,用於形成半導體裝置的製程階段其剖視圖。
第16圖係本發明一實施例中,用於形成半導體裝置的製程階段其剖視圖。
第17圖係本發明一實施例中,用於形成半導體裝置的製程階段其剖視圖。
第18圖係本發明一實施例中,n型鰭狀場效電晶體其有效通道長度對應有效通道電容的圖式。
第19圖係本發明一實施例中,p型鰭狀場效電晶體其有效通道長度對應有效通道電容的圖式。
應理解的是,下述內容提供的不同實施例或例子可實施本發明實施例的不同結構。特定構件與排列的實施例係用以簡化本揭露而非侷限本發明。舉例來說,單元尺寸並不侷限揭露的數值範圍,而取決於裝置的製程條件及/或所需特性。此外,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。多種結構可 以不同比例任意繪示,使說明簡化與清楚。
此外,空間性的相對用語如「下方」、「其下」、「下側」、「上方」、「上側」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。此外,用語「組成為」的意義可為「包括」或「由...組成」。
本發明實施例關於半導體裝置如環狀振盪器其效能的改良方法,比如降低裝置中的有效電容。降低電容可改良環狀振盪器的反應時間。
本發明實施例發現佈植氟至半導體裝置(如含有鰭狀場效電晶體的裝置)其閘極側壁間隔物與通道區中,可降低間隔物的電容並阻礙源極/汲極區的摻質擴散至通道區中。
舉例來說,用於閘極的側壁間隔物之氮化矽側壁,其介電常數介於約5.01至約5.06之間。在形成氮化矽側壁間隔物之後的熱退火步驟與單一的氟佈植,可降低氮化矽約2.58%的介電常數。此外,一些實施例在移除犧牲閘極氧化物之前進行第一氟佈植,接著在形成氮化矽側壁間隔物之後進行熱退火與後續的第二氟佈植,可降低氮化矽約5.78%的介電常數。
此外,本發明一實施例之氟佈植步驟可避免作為源極/汲極區之摻雜硼的矽鍺區中的硼擴散至側壁間隔物及/或通道區中,並避免作為源極/汲極區之磷化矽區中的磷擴散至 側壁間隔物及/或通道區中。據信氟佈植可阻擋佈植範圍末端的缺陷(比如造成擴散的間隙)。藉由阻擋間隙可阻擋摻質擴散。在一些實施例中,藉由氟劑量為2×1015原子/cm2的佈植氟步驟,半導體裝置的有效通道長度可增加多達0.1微米。據信一些實施例中,有效通道長度的增加原因係氟避免磷的橫向擴散。
第1圖係本發明一實施例中,製作半導體裝置的方法200其流程圖。在一些實施例中,提供含有鰭狀場效電晶體結構的半導體裝置。在一些實施例中,形成鰭狀場效電晶體的方法採用閘極置換技術,即含有犧牲閘極於犧牲閘極介電層上的犧牲閘極結構,可形成於鰭狀物上。絕緣閘極側壁位於犧牲閘極的兩側表面上。在步驟S210中,移除犧牲閘極以形成閘極空間於鰭狀物上,且閘極側壁圍繞閘極空間。
在一些實施例中,半導體裝置包含核心區與輸入/輸出區。步驟S220遮蔽輸入/輸出區。在遮蔽輸入/輸出區之後,步驟S230佈植氟至未遮蔽的核心區中的半導體裝置中。在佈植氟之後,步驟240移除犧牲閘極介電層。在移除犧牲閘極介電層處的閘極空間中,步驟S250接著形成界面層於鰭狀物上。步驟S260形成高介電常數的閘極介電層於界面層上並襯墊閘極空間。步驟S270接著形成阻障層於高介電常數的閘極介電層上。在形成阻障層之後,步驟S280進行第一退火步驟。在第一退火步驟後,步驟S290形成蓋層。步驟S300接著進行第二氟佈植步驟,而步驟S310接著進行第二退火步驟。在一些實施例中,可在進行半導體裝置的後續製程之前,以步驟S320移除蓋 層。
第2A至17圖係本發明實施例中,半導體裝置的製作製程的多種階段。應理解在第2A至17圖所示的製程之前、之中、或之後可進行額外步驟,且方法的其他實施例可置換或省略一些下述步驟。下述步驟與製程的順序可調換。
第2A與2B圖係本發明一實施例中,用於形成半導體裝置的製程階段其剖視圖。第2B圖的剖面垂直於第2A圖的剖面。如第2A圖所示,形成多個鰭狀物於半導體的基板10上。在一些實施例中,採用光微影技術並蝕刻基板10以圖案化基板10,可形成鰭狀物15於基板10中。在其他實施例中,採用光微影與磊晶成長技術形成鰭狀物15於基板10上。第2B圖係沿著Y方向中的鰭狀物15之剖視圖。
可採用任何合適方法圖案化鰭狀物15。舉例來說,鰭狀度15的圖案化方法可採用一或多道光微影製程,比如雙重圖案化製程或多重圖案化製程。一般而言,雙重圖案化製程與多重圖案化製程結合光微影與自對準製程,其產生的圖案間距可小於採用單一直接光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。採用自對準製程,以沿著圖案化的犧牲層之側部形成間隔物。接著移除犧牲層,接著採用保留的間隔物以圖案化鰭狀物15。
在一些實施例中,形成一或多個虛置鰭狀物於鰭狀物15的兩側上,以改善圖案化步驟中的圖案保真度。通道區形成於鰭狀物15的上側部份中,而井區形成於鰭狀物15的下側 部份中。
在一些實施例中,鰭狀物15的上側部份沿著X方向的寬度W1介於約5nm至約40nm之間。在其他實施例中,鰭狀物15的上側部份沿著X方向的寬度W1介於約10nm至約30nm之間。在一些實施例中,鰭狀物沿著Z方向的高度H1介於約75nm至約300nm之間。在其他實施例中,鰭狀物沿著Z方向的高度H1介於約100nm至約200nm之間。在一些實施例中,相鄰的鰭狀物彼此之間沿著X方向相隔的距離S1介於約10nm至約100nm之間。在其他實施例中,相鄰的鰭狀物彼此之間沿著X方向相隔的距離S1介於約20nm至約50nm之間。
在一些實施例中,基板10包含單晶半導體層於其至少表面部份上。基板10可包含單晶半導體材料,比如但不限於矽、鍺、矽鍺、砷化鎵、銦銻、磷化鎵、鎵銻、砷化銦鋁、砷化銦鎵、磷化鎵銻、砷化鎵銻、或磷化銦。在一些實施例中,基板10的組成為結晶矽。
基板10可包含一或多個緩衝層(未圖示)於其表面區中。緩衝層可作為基板至源極/汲極區之間的晶格常數漸變區。緩衝層的形成方法可為磊晶成長單晶半導體材料,比如但不限於矽、鍺、鍺錫、矽鍺、砷化鎵、銦銻、磷化鎵、鎵銻、砷化銦鋁、砷化銦鎵、磷化鎵銻、砷化鎵銻、氮化鎵、磷化鎵、或磷化銦。
在一些實施例中,佈植雜質離子(摻質)至矽的基板10中以形成井區。進行離子佈植可避免擊穿效應。基板10可包含多種區域,其可適當地摻雜雜質(比如具有p型或n型的導電 性)。舉例來說,硼或二氟化硼可用於p型鰭狀場效電晶體,而磷可用於n型鰭狀場效電晶體。
第2A圖顯示四個鰭狀物15,但鰭狀物15的數目不限於四,即其他實施例中的鰭狀物數目可多於或少於四。
在形成鰭狀物15之後,形成含有一或多層的絕緣材料之絕緣層於基板上,因此鰭狀物完全埋置於絕緣層中。用於絕緣層的絕緣材料可包含氧化矽、氮化矽、氮氧化矽、氮碳氧化矽、氮碳化矽、摻雜氟的矽酸鹽玻璃、或低介電常數的介電材料,其形成方法可為低壓化學氣相沉積、電漿增強化學氣相沉積、或可流動的化學氣相沉積。在形成絕緣層之後可進行退火步驟。接著進行平坦化步驟(如化學機械研磨法)及/或回蝕刻法,以自絕緣材料層露出鰭狀物的上表面。在一些實施例中,在形成絕緣材料層之前,形成鰭狀物襯墊層於鰭狀物上。在一些實施例中,鰭狀物襯墊層的組成為氮化矽或氮化矽為主的材料(如氮氧化矽、氮碳化矽、或氮碳氧化矽)。
在一些實施例中,鰭狀物襯墊層包含第一鰭狀物襯墊層形成於基板及鰭狀物15的底部側壁上,以及第二鰭狀物襯墊層形成於第一鰭狀物襯墊層上。在一些實施例中,每一鰭狀物襯墊層的厚度介於約1nm至約20nm之間。在一些實施例中,第一鰭狀物襯墊層包含氧化矽,其厚度介於約0.5nm至約5nm之間;而第二鰭狀物襯墊層包含氮化矽,其厚度介於約0.5nm至約5nm之間。襯墊層的沉積方法可為一或多道製程,比如物理氣相沉積(包含濺鍍)、化學氣相沉積、或原子層沉積,但亦可採用任何可接受的製程。
如第3圖所示,接著使絕緣材料層凹陷以形成隔離絕緣層20,並露出鰭狀物15的上側部份。此步驟形成的隔離絕緣層20可使鰭狀物15彼此電性隔離,且隔離絕緣層20亦可稱作淺溝槽隔離。
在形成隔離絕緣層20之後,形成犧牲閘極結構52,如第4A與4B圖所示。第4A圖係沿著X方向中的閘極之剖視圖。第4B圖係沿著Y方向中的鰭狀物之剖視圖。犧牲閘極結構52形成於鰭狀物15其作為通道區54的部份上。犧牲閘極結構52定義鰭狀場效電晶體的通道區54。犧牲閘極結構52包含犧牲閘極介電層25與犧牲閘極層30。犧牲閘極介電層25包含一或多層的絕緣材料如氧化矽為主的材料。在一實施例中,採用化學氣相沉積或熱氧化形成的氧化矽。在一些實施例中,犧牲閘極介電層25的厚度介於約1nm至約5nm之間。
犧牲閘極結構52的形成方法為先毯覆性地沉積犧牲閘極介電層25於鰭狀物15上。接著毯覆性地沉積犧牲閘極層30於犧牲閘極介電層25與鰭狀物15上,使鰭狀物15完全埋置於犧牲閘極層30中。在一些實施例中,犧牲閘極層30包含矽如多晶矽或非晶矽。在一些實施例中,犧牲閘極層30的厚度介於約100nm至約200nm之間。在一些實施例中,對犧牲閘極層30進行平坦化步驟。犧牲閘極介電層25與犧牲閘極層30的沉積方法可採用化學氣相沉積(包含低壓化學氣相沉積與電漿增強化學氣相沉積)、物理氣相沉積、原子層沉積、或其他合適製程。接著形成遮罩層(未圖示)於犧牲閘極層30上。在一些實施例中,遮罩層包含氮化矽墊層與氧化矽遮罩層。
接著在遮罩層上進行圖案化步驟,並形成犧牲閘極介電層25與犧牲閘極層30,如第4A與4B圖所示。犧牲閘極介電層25與犧牲閘極層30的延伸方向,實質上垂直於鰭狀物15的延伸方向。如第4B圖所示的一些實施例中,自鰭狀物15移除犧牲閘極層30未覆蓋的犧牲閘極介電層25。在其他實施例中,並未自鰭狀物15移除犧牲閘極介電層25。
第4A與4B圖顯示兩個犧牲閘極結構52,但犧牲閘極結構的數目不限於二。一些實施例可形成單一的犧牲閘極結構52,或超過兩個犧牲閘極結構52。在一些實施例中,一或多個虛置犧牲閘極結構形成於犧牲閘極結構的兩側上,以改善圖案保真度。
如第5圖所示,本發明一些實施例中絕緣的閘極側壁間隔物40,形成於犧牲閘極介電層25與犧牲閘極層30兩側的側壁表面上。絕緣的閘極側壁間隔物40為厚約0.5nm至約50nm的層狀物,其組成可為氮化矽、氮氧化矽、碳化矽、氮氧碳化矽、或碳氧化矽。閘極側壁間隔物40的形成方法可為化學氣相沉積、原子層沉積、或任則合適方法。在一些實施例中,閘極側壁間隔物40的形成方法,係順應性地沉積側壁間隔物材料於犧牲閘極層30與犧牲閘極介電層25上。接著非等向蝕刻側壁間隔物材料,可自犧牲閘極層30之頂部以及相鄰的犧牲閘極層30之間的區域移除側壁間隔物材料,以保留側壁間隔物材料於犧牲閘極層30的側壁上。
在一些實施例中,閘極側壁間隔物40包含不同材料組成的第一側壁間隔物層45與第二側壁間隔物層50。舉例來 說,一些實施例的第一側壁間隔物層45為氧化矽,而第二側壁間隔物層50為氮化矽。在一些實施例中,第一側壁間隔物層45為ONO膜,其具有氮化矽層夾設於兩個氧化矽層之間(未圖示)。個別的氧化矽層與氮化矽層之厚度,可介於約1nm至約30nm之間。
接著沿著犧牲閘極結構52其兩側上的閘極側壁間隔物40,形成源極/汲極區35於鰭狀物15上,如第6圖所示。在下述內容中,用語「源極」與「汲極」可交替使用,且兩者的結構實質上相同。源極/汲極區35包含用於n型通道場效電晶體的一或多層的矽、磷化矽、碳化矽、或碳磷化矽,或者用於p型通道場效電晶體的一或多層的矽、矽鍺、或鍺。源極/汲極區35的形成方法可為磊晶成長法,其採用化學氣相沉積、原子層沉積、或分子束磊晶。在一些實施例中,使源極/汲極區的鰭狀物15凹陷,比如凹陷至低於隔離絕緣層20的上表面。接著形成源極/汲極磊晶層。
在一些實施例中,佈植摻質至源極/汲極區35中。在一些實施例中,摻質係硼、鋁、鎵、磷、砷、與銻中的一或多者。源極/汲極區35中的摻質濃度介於約1×1017原子/cm3至約1×1021原子/cm3之間。
接著形成層間介電層55,如第6圖所示。層間介電層55可為絕緣材料組成的絕緣層。在一些實施例中,用於層間介電層55的材料組成可包含矽、氧、碳、及/或氫,比如氧化矽、碳氫氧化矽、或碳氧化矽。在一些實施例中,層間介電層55為一或多層的氧化矽、氮化矽、低介電常數的介電材料、或 上述之組合。有機材料如聚合物可作為層間介電層55。層間介電層55的形成方法可為化學氣相沉積。在形成層間介電層55之後,進行平坦化步驟如化學機械研磨,以露出犧牲閘極層30的頂部。
在第7至17圖所示的半導體裝置製作步驟中,顯示兩個分開的區域。舉例來說,環狀振盪裝置包含核心區中的核心裝置(或邏輯裝置),以及輸入/輸出區中的輸入/輸出裝置。在一些實施例中,半導體裝置的第一區65為核心區,而第二區70為輸入/輸出區。
如第7圖所示,移除犧牲閘極層30以形成閘極空間60。移除犧牲閘極層30的方法可為蝕刻技術,其採用的蝕刻劑對犧牲閘極層30具有選擇性,而不蝕刻閘極側壁間隔物40與犧牲閘極介電層25。在一些實施例中,氫氧化四甲基銨作為蝕刻劑。
接著遮蔽第二區70,如第8圖所示。在一些實施例中,遮罩75為光阻。在一些實施例中,遮罩的形成方法可為沉積光阻於半導體裝置上、以光射線如紫外線選擇性地曝光光阻、以及使選擇性曝光的光阻顯影。光阻可為正光阻或負光阻。當光阻為正光阻時,以光射線選擇性曝光的光阻部份將轉為溶於顯影劑中,並可由顯影步驟移除。當光阻為負光阻時,以光射線選擇性曝光的光阻部份將轉為不溶於顯影劑中並保留於裝置上,而射線未選擇性曝光的光阻部份可由顯影步驟移除。
接著佈植氟至含有閘極側壁間隔物40的核心區 中,如第9圖所示。在一些實施例中,第一氟佈植100的能量介於約50eV至約500eV之間,且劑量介於約1×1013原子/cm2至約1×1016原子/cm2之間。在一些實施例中,第一氟佈植100的劑量介於約1×1014原子/cm2至約1×1015原子/cm2之間。在一實施例中,氟佈植的能量介於約200eV至約400eV之間,劑量介於約5×1014原子/cm2至約1×1015原子/cm2之間,相對於基板10的法線方向Z之傾斜角度介於約0°至約20°之間,且扭轉角度介於約0°至約90°之間。
對高深寬比結構進行離子佈植步驟,會面臨一些問題如遮陰效應。由於遮陰效應,離子可能無法一致地佈植至閘極間隔物中。為解決此問題,可採用多重角度的佈植製程。若採用較小的傾斜角度(相對於垂直於基板表面的法線方向(Z方向)),則可佈植離子至鰭狀物頂部。若採用較大的傾斜角度(相對於法線方向),則可佈植離子至閘極結構的側壁。不同傾斜角度與扭轉角度的離子佈植,可改良離子佈植至鰭狀物與閘極側壁間隔物的效果。
傾斜的離子佈植角度,係基板的主要表面之法線方向(Z方向)與Z方向及Y方向組成的ZY平面中的離子束方向所定義的銳角。若角度為0,則離子束的方向實質上平行於基板的法線方向。
進行兩次傾斜角度大於0°的離子佈植,先佈植離子至側壁間隔物結構40的側壁表面之一(比如第9圖中的右側),之後依中心軸旋轉基板(如晶圓)180°,再佈植離子至側壁間隔物結構40的其他側壁表面(比如第9圖中的左側)。
第10圖係本發明實施例中,多種佈植步驟的平面圖。如第10圖的左上角部份115所示,進行扭轉角度為0°的雙重佈植。在雙重佈植中,進行第一佈植之後旋轉基板180°,再進行第二佈植。在右上角部份120中,進行扭轉角度為90°的雙重佈植。扭轉角度指的是在基板平面中基板的旋轉量。具有90°的扭轉角度之雙重佈植,包含在基板平面中旋轉90°之後進行佈植,接著在額外旋轉基板180°之後進行二次佈植。四重佈植中進行四道佈植,且每一佈植進行於旋轉基板90°之後。右下角部份125係扭轉角度為45的四重佈植。左下角部份130係扭轉角度為0°的四重佈植。本發明並不限於特定實施例,且扭轉角度與傾斜角度的其他合適組合亦包含於本發明中。
在第一氟佈植之後,移除核心區的犧牲閘極介電層25,如第11圖所示。移除犧牲閘極介電層25的方法可為合適的濕蝕刻步驟或乾蝕刻步驟。在一些實施例中,第一側壁間隔物層45與犧牲閘極介電層25的材料不同時,可採用合適的濕蝕刻劑如氫氟酸為主的溶液移除犧牲閘極介電層25。在其他實施例中,犧牲閘極介電層25的移除方法為非等向的電漿蝕刻步驟。
接著以化學氧化製程成長界面層80(如氧化物層)於第一區65的閘極空間60中的鰭狀物15上,如第12圖所示。在一些實施例中,界面層80為氧化矽層,其形成方法採用去離子水加臭氧、氫氧化銨加雙氧水加水(APM)、或其他方法。在一些實施例中,界面層80的厚度小於犧牲閘極介電層25的厚度。
在一些實施例中,接著自第二區70移除遮罩75以 形成第二閘極空間135,如第13圖所示。在一些實施例中,移除遮罩的方法採用合適的光阻剝除步驟或電漿灰化步驟。在一些實施例中,採用合適的蝕刻技術移除第二閘極空間135中的犧牲閘極介電層25。
在一些實施例中,接著形成高介電常數的閘極介電層85於界面層80上,且高介電常數的閘極介電層85沿著閘極空間60的側壁,如第14圖所示。在一些實施例中,高介電常數的閘極介電層85亦形成於第二閘極空間135中,如第14圖所示。接著形成導電的阻障層90於高介電常數的閘極介電層85上。在一些實施例中,形成蓋層95於阻障層90上。
高介電常數的閘極介電層85包含一或多層的氧化鉿、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鋯、氧化鋁、氧化鈦、氧化鉿-氧化鋁合金、或其他合適的高介電常數介電材料。高介電常數的閘極介電層85的形成方法可為化學氣相沉積、原子層沉積、或任何合適方法。在一些實施例中,高介電常數的閘極介電層85其形成方法採用高順應性的沉積製程如原子層沉積,以確保每一通道區54周圍的閘極介電層具有一致的厚度。在一些實施例中,高介電常數的閘極介電層85其厚度介於約1nm至約6nm之間。
在一些實施例中,導電的阻障層90為金屬氮化物層,其包含一或多層的氮化鉭、氮化鈦、摻雜矽的氮化鈦、或任何其他合適的導電材料。在一些實施例中,形成阻障層90之後可進行退火步驟,比如在鈍氣中進行溫度介於約700℃至900℃之間的退火。在一些實施例中,熱退火的溫度為約800℃。 在一些實施例中,阻障層90的厚度介於約0.5nm至約5nm之間。阻障層90的形成方法可為化學氣相沉積、原子層沉積、或任何合適方法。
在一些實施例中,蓋層95之組成為非晶材料如非晶矽、非晶鍺、或任何其他合適材料。在一些實施例中,蓋層95的厚度介於約0.5nm至約5nm之間。蓋層95的形成方法可為化學氣相沉積、原子層沉積、或任何合適方法。
如第15圖所示,接著進行第二氟佈植140。在一些實施例中,第二氟佈植140的能量介於約50eV至約500eV之間,且劑量介於約1×1013原子/cm2至約1×1016原子/cm2之間。在一些實施例中,第二氟佈植的劑量介於約1×1014原子/cm2至約1×1015原子/cm2之間。在氟原子佈植的能量為約300eV且劑量為約8×1014原子/cm2的實施例中,傾斜角度為約0°至約20°之間,而扭轉角度為約0°至約90°之間。在一些實施例中,佈植氟至鰭狀物15與閘極側壁間隔物40中。佈植氟可減少第一區65中通道(如矽)與界面層(如氧化物)之間的界面中存在的缺陷。在一些實施例中,佈植氟至第二區70的鰭狀物15與閘極側壁間隔物40中,如第15圖所示。
為解決遮陰問題並確保離子可適當地佈植至鰭狀物15與閘極側壁間隔物40,第二氟佈植140可採用多角度的佈植製程,如此處所述的第一氟佈植100。以不同傾斜與扭轉的角度進行多重離子佈植,如此處所述。
在一些實施例中,第一氟佈植100與第二氟佈植140佈植至第一區65中的閘極側壁間隔物40與通道區54中的氟 濃度,介於約0.25原子%至約15原子%之間,其各自以氟與閘極側壁間隔物材料(或氟與通道區材料)的總原子%為基準。在一些實施例中,佈植至閘極側壁間隔物與通道區中的氟濃度介於約1原子%至約10原子%之間,其各自以氟與閘極側壁間隔物材料(或氟與通道區材料)的總原子%為基準。在一些實施例中,佈植至閘極側壁間隔物與通道區中的氟濃度介於約3原子%至約7原子%之間,其各自以氟與閘極側壁間隔物材料(或氟與通道區材料)的總原子%為基準。
在一些實施例中,可在第二氟佈植之後,對裝置進行退火製程。在一些實施例中,上述退火製程的溫度介於約800℃至約1000℃之間,且在鈍氣中進行。在一些實施例中,上述退火製程的溫度為約900℃。
接著移除蓋層95,如第16圖所示。移除蓋層95的方法可採用合適的蝕刻與微影技術。在一些實施例中,可在移除蓋層之後進行退火製程。上述退火製程的溫度可介於約800℃至約1000℃之間,且在鈍氣中進行。
接著形成一或多個導電層於第一區65與第二區70中的阻障層90上,以形成金屬閘極110,如第17圖所示。
在一些實施例中,金屬閘極110包含一或多個功函數調整層(未圖示)形成於阻障層90上,以及主要金屬層形成於功函數調整層上。功函數調整層之組成為導電材料如單層的氮化鈦、氮化鉭、碳化鉭鋁、碳化鈦、碳化鉭、鈷、鋁、鈦鋁、鉿鈦、鈦矽化物、鉭矽化物、或碳化鈦鋁,或上述兩種或多種材料的多層結構。氮化賧、碳化鉭鋁、氮化鈦、碳化鈦、鈷、 鈦鋁、鉿鈦、鈦矽化物、與鉭矽化物中的一或多者,可作為n型通道鰭狀場效電晶體的功函數調整層。碳化鈦鋁、鋁、鈦鋁、氮化鉭、碳化鉭鋁、氮化鈦、碳化鈦、與鈷中的一或多者,可作為p型通道鰭狀場效電晶體的功函數調整層。
在一些實施例中,金屬閘極110的主要金屬層包含的金屬材料係鎢、銅、鈦、銀、鋁、鈦鋁、氮化鈦鋁、碳化鉭、氮碳化鉭、氮化鉭矽、錳、鈷、鈀、鎳、錸、銥、釕、鉑、或鋯。在一些實施例中,主要金屬層包含的金屬係氮化鈦、氮化鎢、氮化鉭、或釕。此外亦可採用金屬合金如鈦鋁、釕鉭、釕鋯、鉑鈦、鈷鎳、或鎳鉭,及/或金屬氮化物如氮化鎢、氮化鈦、氮化鉬、氮化鉭、或氮化鉭矽。功函數調整層與主要金屬層的形成方法可採用合適製程,比如原子層沉積、化學氣相沉積、物理氣相沉積、電鍍、或上述之組合。
在一些實施例中,在形成主要金屬層之後,自層間介電層55的上表面移除多餘金屬以形成第17圖所示的結構,且移除方法可為合適的研磨製程(如化學機械研磨)或回蝕刻步驟。
在形成金屬閘極110之後,可進行後續的互補金氧半製程以形成多種結構,比如額外的層間介電層、接點及/或通孔、內連線金屬層、與鈍化層等等。上述的第一氟佈植步驟與第二氟佈植步驟可用於n型鰭狀場效電晶體與p型鰭狀場效電晶體。
第18圖係本發明一實施例中,n型場效電晶體其有效通道長度(Leff)對應有效通道電容(Cch,eff)的圖式。圓圈指 的是控制組,而方塊指的是本發明實施例。如第18圖所示,本發明實施例的n型場效電晶體在固定的有效通道長度下具有減少的通道電容。
第19圖係本發明一實施例中,p型場效電晶體其有效通道長度(Leff)對應有效通道電容(Cch,eff)的圖式。圓圈指的是控制組,而方塊指的是本發明實施例。如第19圖所示,本發明實施例的p型場效電晶體具有減少的通道電容及增加的有效通道長度。
在一些實施例中,依據本發明形成的半導體裝置如環狀振盪器,在操作參數(如有效電容)的整體改良可高達1.5%。一些實施例中的有效電容可降低多達3.5%。然而一些實施例中,由於界面層與高介電常數的閘極介電層的整體厚度,會增加閘極氧化物厚度而造成效能損失2%,這將部份抵消電容降低3.5%的效果(因此整體改良為1.5%)。依據本發明實施例製作半導體裝置,亦可改良產品良率。
本發明一實施例為半導體裝置的製作方法,包括形成鰭狀物,且鰭狀物沿著第一方向延伸於半導體基板上;以及形成犧牲閘極結構,犧牲閘極結構沿著第二方向延伸於鰭狀物上,且第二方向實質上垂直於第一方向。犧牲閘極結構包括犧牲閘極介電層,與犧牲閘極介電層上的犧牲閘極層。形成相對的多個閘極側壁間隔物,且閘極側壁間隔物沿著第二方向延伸於犧牲閘極層的兩側上。移除犧牲閘極層以形成閘極空間。在移除犧牲閘極層之後,進行第一氟佈植以佈植氟至該閘極側壁間隔物中。移除犧牲閘極介電層。形成高介電常數的閘極介 電層於閘極空間中,並在形成高介電常數的閘極介電層之後,進行第二氟佈植以佈植氟至閘極側壁間隔物與鰭狀物中。在一實施例中,第一氟佈植的能量介於50eV至500eV之間,且劑量介於1×1013原子/cm2至1×1016原子/cm2之間。在一實施例中,第二氟佈植的能量介於50eV至500eV之間,且劑量介於1×1013原子/cm2至1×1016原子/cm2之間。在一實施例中,上述方法包括在移除犧牲閘極介電層之後與形成高介電常數的閘極介電層之前,形成界面氧化物層於閘極空間中的鰭狀物上。在一實施例中,上述方法包括形成金屬氮化物層於高介電常數的閘極介電層上。在一實施例中,上述方法包括在形成金屬氮化物層之後,進行退火步驟。在一實施例中,上述方法包括形成矽蓋層於金屬氮化物層上。在一實施例中,上述方法包括在形成矽蓋層之後進行退火步驟。
本發明一實施例為半導體裝置的製作方法,包括形成多個鰭狀物,且鰭狀物沿著第一方向延伸於半導體基板上。半導體基板包括第一區與第二區,且鰭狀物形成於半導體基板的第一區與第二區上。形成多個犧牲閘極結構,且犧牲閘極結構沿著第二方向延伸於鰭狀物上,且第一方向實質上垂直於第二方向。犧牲閘極結構各自包含犧牲閘極介電層,與犧牲閘極介電層上的犧牲閘極層。形成相對的多個閘極側壁間隔物,且閘極側壁間隔物沿著第二方向延伸於犧牲閘極層的兩側上。自犧牲閘極結構移除犧牲閘極層,以形成多個閘極空間。遮蔽第一區,並在移除犧牲閘極層之後,進行第一氟佈植以佈植氟至第二區中的閘極側壁間隔物與鰭狀物中。移除第二區中 的犧牲閘極介電層。形成多個高介電常數的閘極介電層於第二區中的閘極空間中,並在形成高介電常數的閘極介電層之後,進行第二氟佈植以佈植氟至第二區中的閘極側壁間隔物與鰭狀物中。在一實施例中,第一區為半導體裝置的輸入/輸出區,且第二區為半導體裝置的核心區。在一實施例中,第一氟佈植的能量介於50eV至500eV之間,且劑量介於1×1013原子/cm2至1×1016原子/cm2之間。在一實施例中,第二氟佈植的能量介於50eV至500eV之間,且劑量介於1×1013原子/cm2至1×1016原子/cm2之間。在一實施例中,上述方法亦包括在移除犧牲閘極介電層之後與形成高介電常數的閘極介電層之前,以化學氧化製程形成界面氧化物層於閘極空間中。在一實施例中,上述方法包括形成金屬氮化物層於高介電常數的閘極介電層上。在一實施例中,金屬氮化物層為氮化鈦層。在一實施例中,上述方法包括形成矽蓋層於金屬氮化物層上。
本發明一實施例係降低半導體裝置其閘極側壁間隔物的介電常數的方法,包括進行第一氟佈植以佈植氟至半導體裝置中相鄰的一對閘極側壁間隔物中。形成高介電常數的閘極介電層於相鄰的閘極側壁間隔物之間。形成阻障層於高介電常數的閘極介電層上;以及在形成該阻障層之後,進行第二氟佈植以佈植氟至半導體裝置的閘極側壁間隔物與通道區中。在一實施例中,第一氟佈植的能量介於50eV至500eV之間,且劑量介於1×1013原子/cm2至1×1016原子/cm2之間。在一些實施例中,第二氟佈植的能量介於50eV至500eV之間,且劑量介於1×1013原子/cm2至1×1016原子/cm2之間。在一實施例中,上述方 法更包括在進行第二氟佈植之前,在形成高介電常數的閘極介電層之前,進行化學氧化製程以形成界面氧化物層於相鄰的閘極側壁間隔物之間;形成阻障層於高介電常數的閘極介電層上;以及形成矽蓋層於阻障層上。
本發明一實施例係降低半導體裝置的閘極側壁間隔物的介電常數的方法,包括形成犧牲閘極結構於半導體基板上的鰭狀物上,犧牲閘極結構沿著第一方向延伸,鰭狀物沿著第二方向延伸,且第一方向實質上垂直於第二方向。犧牲閘極結構包括犧牲閘極介電層,以及犧牲閘極介電層上的犧牲閘極層。形成相對的多個閘極側壁間隔物,且閘極側壁間隔物沿著第二方向延伸於犧牲閘極層的兩側上。移除犧牲閘極層以形成閘極空間,並在移除閘極層之後進行第一氟佈植以佈植氟至半導體裝置的閘極側壁間隔物與通道區中。移除犧牲閘極介電層,並形成高介電常數的閘極介電層於閘極空間中。在形成金屬氮化物層之後,進行第二氟佈植以佈植氟至半導體裝置的閘極側壁間隔物與通道區中。在一實施例中,第一氟佈植的能量介於50eV至500eV之間,且劑量介於1×1013原子/cm2至1×1016原子/cm2之間。在一實施例中,第二氟佈植的能量介於50eV至500eV之間,且劑量介於1×1013原子/cm2至1×1016原子/cm2之間。在一實施例中,上述方法包括在移除犧牲閘極介電層之後與形成高介電常數的閘極介電層之前,進行化學氧化製程以形成界面氧化物層於閘極空間中。在一實施例中,上述方法包括形成金屬氮化物層於高介電常數的閘極介電層上。在一實施例中,上述方法包括在形成金屬氮化物層之後進行熱退火步驟, 且熱退火步驟的溫度介於約700℃至約900℃之間。在一實施例中,上述方法包括形成矽蓋層於金屬氮化物層上。在一實施例中,上述方法包括在形成蓋層之後進行熱退火步驟,且熱退火步驟的溫度介於約800℃至約1000℃之間。
本發明一實施例為阻礙摻質擴散至半導體裝置的通道區中的方法,包括進行第一氟佈植以佈植氟至場效電晶體其相鄰的一對閘極側壁間隔物中。形成界面氧化物層於相鄰的閘極側壁間隔物之間。高介電常數的閘極介電層位於界面氧化物層上,並在形成高介電常數的閘極介電層之後,進行第二氟佈植以佈植氟至場效電晶體的閘極側壁間隔物與通道區中。在一實施例中,第一氟佈植的能量介於50eV至500eV之間,且劑量介於1×1013原子/cm2至1×1016原子/cm2之間。在一實施例中,第二氟佈植的能量介於50eV至500eV之間,且劑量介於1×1013原子/cm2至1×1016原子/cm2之間。在一實施例中,上述方法包括形成金屬氮化物層於高介電常數的閘極介電層上。在一實施例中,上述方法包括在形成金屬氮化物層之後進行熱退火步驟,且熱退火步驟的溫度介於700℃至900℃之間。在一實施例中,上述方法包括形成矽蓋層於金屬氮化物層上。在一實施例中,上述方法包括在形成蓋層之後進行熱退火步驟,且熱退火步驟的溫度介於800℃至1000℃之間。
本發明一實施例為阻礙摻質擴散至半導體裝置其通道區中的方法,包括形成犧牲閘極結構於半導體基板上的鰭狀物上,犧牲閘極結構沿著第一方向延伸,鰭狀物沿著第二方向延伸,且第一方向實質上垂直於第二方向。犧牲閘極結構包 括犧牲閘極介電層,與犧牲閘極介電層上的犧牲閘極層。形成相對的閘極側壁間隔物,且閘極側壁間隔物沿著第一方向延伸於犧牲閘極層的兩側上。移除犧牲閘極層以形成閘極空間。在移除犧牲閘極層之後,進行第一氟佈植以佈植氟至閘極側壁間隔物中。移除犧牲閘極介電層,並形成高介電常數的閘極介電層於閘極空間中。阻障層形成於高介電常數的閘極介電層上,而蓋層形成於阻障層上。在形成蓋層之後,進行第二氟佈植以佈植氟至半導體裝置的閘極側壁間隔物與通道區中。在一實施例中,第一氟佈植的能量介於50eV至500eV之間,且劑量介於1×1013原子/cm2至1×1016原子/cm2之間。在一實施例中,上述方法包括在移除犧牲閘極介電層之後及形成高介電常數的閘極介電層之前,進行化學氧化製程以形成界面氧化物層於閘極空間中。在一實施例中,上述方法包括在形成阻障層之後進行熱退火步驟,且熱退火步驟的溫度介於700℃至900℃之間。在一實施例中,上述方法包括在進行第二氟佈植之前進行熱退火步驟,且熱退火步驟的溫度介於800℃至1000℃之間。
本發明一實施例為半導體裝置,其包含鰭狀物沿著第一方向延伸於半導體基板上,以及閘極結構沿著第二方向延伸於鰭狀物上,且第一方向實質上垂直於第二方向。閘極結構包含閘極介電層,與閘極介電層上的閘極層。相對的閘極側壁間隔物,沿著第二方向延伸於閘極層的兩側上。閘極側壁間隔物包含0.25原子%至15原子%的氟,其以氟與閘極側壁間隔物材料的總量為基準。在一實施例中,閘極結構位於鰭狀物的通道區上,且鰭狀物的通道區包含0.25原子%至15原子%的 氟,其以氟與鰭狀物材料的總量為基準。在一實施例中,閘極側壁間隔物與鰭狀物的通道區包含1原子%至10原子%的氟,其各自以氟與閘極側壁間隔物材料或者氟與鰭狀物材料的總量為基準。在一實施例中,閘極介電層為高介電常數的閘極介電層。在一實施例中,半導體裝置包括界面氧化物層於鰭狀物與閘極介電層之間。在一實施例中,半導體裝置包括金屬氮化物層於閘極介電層與閘極層之間。在一實施例中,金屬氮化物層包括一或多層的氮化鉭、氮化鈦、或摻雜矽的氮化鈦。在一實施例中,金屬氮化物層的厚度介於0.5nm至5nm之間。在一實施例中,半導體裝置包括源極/汲極區於鰭狀物上,並沿著閘極結構的兩側上之閘極側壁間隔物。
本發明一實施例為半導體裝置,其包含多個鰭狀物沿著第一方向延伸於半導體基板上。半導體基板包括第一區與第二區,且鰭狀物位於半導體基板的第一區與第二區上。多個閘極結構沿著第二方向延伸於鰭狀物上,且第二方向實質上垂直於第一方向。閘極結構各自包含閘極介電層,以及閘極介電層上的閘極層。相對的閘極側壁間隔物沿著第一方向延伸於閘極層的兩側上。第一區中的閘極側壁間隔物包含0.25原子%至15原子%的氟,其以氟與閘極側壁間隔物材料的總量為基準。在一實施例中,閘極結構位於鰭狀物的通道區上,且第一區中的鰭狀物的通道區包含0.25原子%至15原子%的氟,其以氟與鰭狀物材料的總量為基準。在一實施例中,第一區中的閘極側壁間隔物包含1原子%至10原子%的氟,其以氟與閘極側壁間隔物材料的總量為基準。在一實施例中,第一區中的通道區 包含1原子%至10原子%的氟,其以氟與鰭狀物材料的總量為基準。在一實施例中,第一區為半導體裝置的核心區,而第二區為半導體裝置的輸入/輸出區。在一實施例中,半導體裝置包括界面氧化物層於第一區的鰭狀物與閘極介電層之間。在一實施例中,半導體裝置包括導電的阻障層於第一區中的閘極介電層與閘極層之間。在一實施例中,導電的阻障層為金屬氮化物層。
本發明一實施例為半導體裝置,其包含鰭狀物沿著第一方向延伸於半導體基板上,以及閘極結構沿著第二方向延伸於鰭狀物的通道區上,且第二方向實質上垂直於第一方向。閘極結構包含高介電常數的閘極介電層,與閘極介電層上的閘極層。界面氧化物層位於鰭狀物與閘極介電層之間。導電的阻障層位於閘極介電層與閘極層之間。相對的閘極側壁間隔物沿著第二方向延伸於閘極層的兩側上。閘極側壁間隔物包含0.25原子%至15原子%的氟,其以氟與閘極側壁間隔物材料的總量為基準;而鰭狀物的通道區包含0.25原子%至15原子%的氟,其以氟與鰭狀物材料的總量為基準。在一實施例中,閘極側壁間隔物與鰭狀物的通道區包含1原子%至10原子%的氟,其各自以氟與閘極側壁間隔物材料或者氟與鰭狀物材料的總量為基準。在一實施例中,導電的阻障層包括一或多層的氮化鉭、氮化鈦、或摻雜矽的氮化鈦。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明實施例。本技術領域中具有通常知識者應理解可採用本發明實施例作基礎,設計並變化其他製程與結構以 完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。

Claims (20)

  1. 一種半導體裝置的製作方法,包括:形成一鰭狀物,且該鰭狀物沿著一第一方向延伸於一半導體基板上;形成一犧牲閘極結構,該犧牲閘極結構沿著一第二方向延伸於該鰭狀物上,且該第二方向實質上垂直於該第一方向;其中該犧牲閘極結構包括一犧牲閘極介電層,與該犧牲閘極介電層上的一犧牲閘極層;形成相對的多個閘極側壁間隔物,且該些閘極側壁間隔物沿著該第二方向延伸於該犧牲閘極層的兩側上;移除該犧牲閘極層以形成一閘極空間;在移除該犧牲閘極層之後,進行一第一氟佈植以佈植氟至該閘極側壁間隔物中;移除該犧牲閘極介電層;形成一高介電常數的閘極介電層於該閘極空間中;以及在形成該高介電常數的閘極介電層之後,進行一第二氟佈植以佈植氟至該閘極側壁間隔物與該鰭狀物中。
  2. 如申請專利範圍第1項所述之半導體裝置的製作方法,其中該第一氟佈植的能量介於50eV至500eV之間,且劑量介於1×10 13原子/cm 2至1×10 16原子/cm 2之間。
  3. 如申請專利範圍第1項所述之半導體裝置的製作方法,其中該第二氟佈植的能量介於50eV至500eV之間,且劑量介於1×10 13原子/cm 2至1×10 16原子/cm 2之間。
  4. 如申請專利範圍第1項所述之半導體裝置的製作方法,更包 括在移除該犧牲閘極介電層之後與形成該高介電常數的閘極介電層之前,形成一界面氧化物層於該閘極空間中的該鰭狀物上。
  5. 如申請專利範圍第1項所述之半導體裝置的製作方法,更包括形成一金屬氮化物層於該高介電常數的閘極介電層上。
  6. 如申請專利範圍第5項所述之半導體裝置的製作方法,更包括在形成該金屬氮化物層之後,進行一退火步驟。
  7. 如申請專利範圍第5項所述之半導體裝置的製作方法,更包括形成一矽蓋層於該金屬氮化物層上。
  8. 如申請專利範圍第7項所述之半導體裝置的製作方法,更包括在形成該矽蓋層之後進行一退火步驟。
  9. 一種半導體裝置的製作方法,包括:形成多個鰭狀物,且該些鰭狀物沿著一第一方向延伸於一半導體基板上;其中該半導體基板包括一第一區與一第二區,且該些鰭狀物形成於該半導體基板的該第一區與該第二區上;形成多個犧牲閘極結構,且該些犧牲閘極結構沿著一第二方向延伸於該些鰭狀物上,且該第一方向實質上垂直於該第二方向;其中該些犧牲閘極結構各自包含一犧牲閘極介電層,與該犧牲閘極介電層上的一犧牲閘極層;形成相對的多個閘極側壁間隔物,且該些閘極側壁間隔物沿著該第二方向延伸於該些犧牲閘極層的兩側上;自該些犧牲閘極結構移除該些犧牲閘極層,以形成多個閘 極空間;遮蔽該第一區;在移除該些犧牲閘極層之後,進行一第一氟佈植以佈植氟至該第二區中的該些閘極側壁間隔物與該些鰭狀物中;移除該第二區中的該些犧牲閘極介電層;形成多個高介電常數的閘極介電層於該第二區中的該些閘極空間中;以及在形成該些高介電常數的閘極介電層之後,進行一第二氟佈植以佈植氟至該第二區中的該些閘極側壁間隔物與該些鰭狀物中。
  10. 如申請專利範圍第9項所述之半導體裝置的製作方法,其中該第一區為該半導體裝置的一輸入/輸出區,且該第二區為該半導體裝置的一核心區。
  11. 如申請專利範圍第9項所述之半導體裝置的製作方法,其中該第一氟佈植的能量介於50eV至500eV之間,且劑量介於1×10 13原子/cm 2至1×10 16原子/cm 2之間。
  12. 如申請專利範圍第11項所述之半導體裝置的製作方法,其中該第二氟佈植的能量介於50eV至500eV之間,且劑量介於1×10 13原子/cm 2至1×10 16原子/cm 2之間。
  13. 如申請專利範圍第9項所述之半導體裝置的製作方法,更包括:在移除該些犧牲閘極介電層之後與形成該些高介電常數的閘極介電層之前,以化學氧化製程形成一界面氧化物層於該些閘極空間中。
  14. 如申請專利範圍第13項所述之半導體裝置的製作方法,更包括形成一金屬氮化物層於該些高介電常數的閘極介電層上。
  15. 如申請專利範圍第14項所述之半導體裝置的製作方法,其中該金屬氮化物層為氮化鈦層。
  16. 如申請專利範圍第14項所述之半導體裝置的製作方法,更包括形成一矽蓋層於該金屬氮化物層上。
  17. 一種降低半導體裝置其閘極側壁間隔物的介電常數的方法,包括:進行一第一氟佈植以佈植氟至該半導體裝置中相鄰的一對閘極側壁間隔物中;形成一高介電常數的閘極介電層於相鄰的該些閘極側壁間隔物之間;形成一阻障層於該高介電常數的閘極介電層上;以及在形成該阻障層之後,進行一第二氟佈植以佈植氟至該半導體裝置的該些閘極側壁間隔物與一通道區中。
  18. 如申請專利範圍第17項之降低半導體裝置其閘極側壁間隔物的介電常數的方法,其中該第一氟佈植的能量介於50eV至500eV之間,且劑量介於1×10 13原子/cm 2至1×10 16原子/cm 2之間。
  19. 如申請專利範圍第17項之降低半導體裝置其閘極側壁間隔物的介電常數的方法,其中該第二氟佈植的能量介於50eV至500eV之間,且劑量介於1×10 13原子/cm 2至1×10 16原子/cm 2之間。
  20. 如申請專利範圍第19項之降低半導體裝置其閘極側壁間隔物的介電常數的方法,更包括在進行該第二氟佈植之前;在形成該高介電常數的閘極介電層之前,進行化學氧化製程以形成界面氧化物層於相鄰的該些閘極側壁間隔物之間;形成該阻障層於該高介電常數的閘極介電層上;以及形成一矽蓋層於該阻障層上。
TW107120768A 2017-06-30 2018-06-15 半導體裝置的製作方法與降低半導體裝置其閘極側壁間隔物的介電常數的方法 TWI679688B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762527930P 2017-06-30 2017-06-30
US62/527,930 2017-06-30
US15/938,477 US10490452B2 (en) 2017-06-30 2018-03-28 Method for fabricating a semiconductor device
US15/938,477 2018-03-28

Publications (2)

Publication Number Publication Date
TW201905985A true TW201905985A (zh) 2019-02-01
TWI679688B TWI679688B (zh) 2019-12-11

Family

ID=64734927

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107120768A TWI679688B (zh) 2017-06-30 2018-06-15 半導體裝置的製作方法與降低半導體裝置其閘極側壁間隔物的介電常數的方法

Country Status (3)

Country Link
US (4) US10490452B2 (zh)
CN (1) CN109216459B (zh)
TW (1) TWI679688B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI685087B (zh) * 2019-05-02 2020-02-11 華邦電子股份有限公司 半導體結構及其製造方法
US11101179B2 (en) 2019-07-11 2021-08-24 Winbond Electronics Corp. Semiconductor structure with protection portions and method for forming the same
TWI765678B (zh) * 2020-04-29 2022-05-21 台灣積體電路製造股份有限公司 半導體裝置與其製作方法
TWI812840B (zh) * 2019-02-11 2023-08-21 美商應用材料股份有限公司 半導體元件製造處理及半導體結構
US11742404B2 (en) 2020-04-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11574818B1 (en) * 2012-12-29 2023-02-07 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure including power distribution grids
US10490452B2 (en) * 2017-06-30 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a semiconductor device
US10163657B1 (en) * 2017-08-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11335786B2 (en) * 2019-02-01 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure in high-κ metal gate technology
US10930508B2 (en) * 2019-02-21 2021-02-23 Applied Materials, Inc. Replacement metal gate formation of PMOS ultra-low voltage devices using a thermal implant
US11094695B2 (en) * 2019-05-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device and method of forming the same
US11355615B2 (en) * 2020-01-17 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having fluorine-doped gate sidewall spacers
DE102020130401A1 (de) 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Dipolig-gefertigtes high-k-gate-dielektrikum und verfahren zu dessen bildung desselben
US11784052B2 (en) * 2020-05-28 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Dipole-engineered high-k gate dielectric and method forming same
US11367621B2 (en) * 2020-06-15 2022-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
CN114334828A (zh) * 2020-10-10 2022-04-12 长鑫存储技术有限公司 半导体器件制造方法、半导体器件及存储器

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10255849B4 (de) * 2002-11-29 2006-06-14 Advanced Micro Devices, Inc., Sunnyvale Verbesserte Drain/Source-Erweiterungsstruktur eines Feldeffekttransistors mit dotierten Seitenwandabstandselementen mit hoher Permittivität und Verfahren zu deren Herstellung
US7390709B2 (en) * 2004-09-08 2008-06-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
JP2008034413A (ja) * 2006-07-26 2008-02-14 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US8268085B2 (en) 2009-03-20 2012-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming metal gate transistors
US8008143B2 (en) * 2009-12-30 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method to form a semiconductor device having gate dielectric layers of varying thicknesses
US9287179B2 (en) * 2012-01-19 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Composite dummy gate with conformal polysilicon layer for FinFET device
US9034701B2 (en) * 2012-01-20 2015-05-19 International Business Machines Corporation Semiconductor device with a low-k spacer and method of forming the same
CN103515421B (zh) * 2012-06-27 2018-03-27 联华电子股份有限公司 半导体结构及其制作工艺
US8877604B2 (en) * 2012-12-17 2014-11-04 International Business Machines Corporation Device structure with increased contact area and reduced gate capacitance
CN103928327B (zh) * 2013-01-10 2017-07-14 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
CN104217951B (zh) * 2013-06-04 2018-03-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
KR102065973B1 (ko) * 2013-07-12 2020-01-15 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN104347503A (zh) * 2013-07-30 2015-02-11 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN104681440A (zh) * 2013-11-28 2015-06-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法
CN104701173B (zh) * 2013-12-05 2018-02-16 中芯国际集成电路制造(上海)有限公司 FinFET器件及其形成方法
CN104795331B (zh) * 2014-01-21 2018-08-10 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US9455200B2 (en) 2014-08-11 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
US9508602B2 (en) 2015-01-09 2016-11-29 Globalfoundries Inc. Temperature-controlled implanting of a diffusion-suppressing dopant in a semiconductor structure
US9425317B1 (en) 2015-02-26 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd Fin field effect transistor (FinFET) device structure with Ge-doped inter-layer dielectric (ILD) structure
US9418994B1 (en) * 2015-03-26 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Fin field effect transistor (FinFET) device structure
US9922884B2 (en) * 2015-10-14 2018-03-20 International Business Machines Corporation Integrated circuit with replacement gate stacks and method of forming same
CN106611788B (zh) * 2015-10-26 2019-12-03 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10535747B2 (en) 2015-12-23 2020-01-14 Intel Corporation Transistor with dual-gate spacer
US9748235B2 (en) * 2016-02-02 2017-08-29 Globalfoundries Inc. Gate stack for integrated circuit structure and method of forming same
US10490452B2 (en) * 2017-06-30 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a semiconductor device

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI812840B (zh) * 2019-02-11 2023-08-21 美商應用材料股份有限公司 半導體元件製造處理及半導體結構
TWI685087B (zh) * 2019-05-02 2020-02-11 華邦電子股份有限公司 半導體結構及其製造方法
US11101179B2 (en) 2019-07-11 2021-08-24 Winbond Electronics Corp. Semiconductor structure with protection portions and method for forming the same
TWI765678B (zh) * 2020-04-29 2022-05-21 台灣積體電路製造股份有限公司 半導體裝置與其製作方法
US11742404B2 (en) 2020-04-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Also Published As

Publication number Publication date
US11011428B2 (en) 2021-05-18
US20200051864A1 (en) 2020-02-13
TWI679688B (zh) 2019-12-11
US20200051865A1 (en) 2020-02-13
US20210272850A1 (en) 2021-09-02
US20190006242A1 (en) 2019-01-03
US11031293B2 (en) 2021-06-08
CN109216459B (zh) 2021-09-10
CN109216459A (zh) 2019-01-15
US11728219B2 (en) 2023-08-15
US10490452B2 (en) 2019-11-26

Similar Documents

Publication Publication Date Title
TWI679688B (zh) 半導體裝置的製作方法與降低半導體裝置其閘極側壁間隔物的介電常數的方法
US10037924B2 (en) Fin-FET device and fabrication method thereof
TWI816685B (zh) 半導體裝置及其製造方法
TWI685035B (zh) 場效電晶體的製造方法及積體電路結構
US9722038B2 (en) Metal cap protection layer for gate and contact metallization
US9613960B2 (en) Fin field effect transistors and fabrication method thereof
TWI584478B (zh) 半導體裝置及其製造方法
US9870954B2 (en) Simultaneous formation of source/drain openings with different profiles
US7737468B2 (en) Semiconductor devices having recesses filled with semiconductor materials
TW201639099A (zh) 半導體裝置
KR20120012705A (ko) 반도체 소자 및 그 제조 방법
TW201415641A (zh) p型場效電晶體結構與其形成方法
TW202020986A (zh) 半導體裝置
TW201605019A (zh) 具有超接面結構的半導體元件及其製造方法
TW202002163A (zh) 製作積體電路結構的方法
TWI751431B (zh) 具有低閃爍雜訊的半導體裝置及其形成方法
US9871035B2 (en) Semiconductor device with metal silicide blocking region and method of manufacturing the same
CN108573873B (zh) 半导体器件的制造方法
TW202139368A (zh) 金屬氧化物半導體場效電晶體裝置及其製造方法
TW202010044A (zh) 積體電路結構的製作方法
TWI756018B (zh) 半導體元件及半導體方法
TW202141588A (zh) 半導體結構的形成方法
KR100981674B1 (ko) 반도체 소자 및 그의 제조방법
TW202002302A (zh) 半導體結構
KR20050060658A (ko) 반도체 소자의 게이트 전극 형성 방법