TW201834246A - 包括採用雙電荷摻雜劑之源極/汲極的電晶體 - Google Patents

包括採用雙電荷摻雜劑之源極/汲極的電晶體 Download PDF

Info

Publication number
TW201834246A
TW201834246A TW106128298A TW106128298A TW201834246A TW 201834246 A TW201834246 A TW 201834246A TW 106128298 A TW106128298 A TW 106128298A TW 106128298 A TW106128298 A TW 106128298A TW 201834246 A TW201834246 A TW 201834246A
Authority
TW
Taiwan
Prior art keywords
region
gate
dopant
transistor
regions
Prior art date
Application number
TW106128298A
Other languages
English (en)
Other versions
TWI770052B (zh
Inventor
塔何 甘尼
安拿 莫希
葛蘭 葛雷斯
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW201834246A publication Critical patent/TW201834246A/zh
Application granted granted Critical
Publication of TWI770052B publication Critical patent/TWI770052B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66356Gated diodes, e.g. field controlled diodes [FCD], static induction thyristors [SITh], field controlled thyristors [FCTh]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/083Anode or cathode regions of thyristors or gated bipolar-mode devices
    • H01L29/0834Anode regions of thyristors or gated bipolar-mode devices, e.g. supplementary regions surrounding anode regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Thin Film Transistor (AREA)

Abstract

針對採用雙電荷摻雜劑形成包括源極和汲極(S/D)區的電晶體來揭露技術。如能基於此揭露所能了解的,無論單獨使用用於IV族半導體材料(例如,Si、Ge、SiGe)雙電荷摻雜劑或與單電荷摻雜劑(例如,P、As、B)結合,能減少在源極及汲極區(半導體)與他們分別的接觸(金屬)之間半導體/金屬介面處的能障,從而改善(藉由降低)在S/D位置處的接觸電阻。在一些情形中,雙電荷摻雜劑可例如被提供於給定S/D區之頂部或蓋頂層S/D部分中,使得雙電荷摻雜的S/D材料位於該S/D區與對應的接觸之介面處。雙電荷摻雜劑能包括硫(S)、硒(Se)及/或碲(Te)。按照此揭露,其它合適的IV族材料雙電荷摻雜劑將為顯見的。

Description

包括採用雙電荷摻雜劑之源極/汲極的電晶體
本發明係關於一種包括採用雙電荷摻雜劑之源極/汲極的電晶體。
半導體裝置為利用半導體材料之電子性質的電子組件,半導體材料像是矽、鍺以及砷化鎵。場效電晶體(FET)為包括三端的半導體裝置:閘極、源極以及汲極。FET使用由閘極施加的電場用以控制通道之導電性(electrical conductivity),電荷載子(例如,電子或電洞)通過該通道從源極流到汲極。在其中電荷載子為電子的實例中,FET稱為n通道裝置,且在其中電荷載子為電洞的實例中,FET稱為p通道裝置。一些FET具有第四端,稱為本體(body)或基板,其能被使用來對電晶體偏壓。此外,金屬氧化物半導體FET(MOSFET)包括在閘極與通道之間的閘極介電層。互補式MOS(CMOS)結構使用p通道MOSFET(p-MOS)與n通道MOSFET(n-MOS)之結合以實施邏輯閘和其 它數位電路。
FinFET為建立在半導體材料之薄帶(thin strip)附近的MOSFET電晶體(一般稱為「鰭」)。FinFET裝置之導電通道駐存在鄰近閘極介電質之鰭的外部上。具體而言,電流沿著鰭之兩側壁/在鰭之兩側壁內(垂直於基板表面之側)以及沿著鰭之頂部(平行於基板表面之側)運行。因為這類組態之導電通道基本沿著鰭之三個不同外側、平面區域駐存,這樣的FinFET有時稱為「三閘(tri-gate)」電晶體。其它類型的FinFET組態亦為可利用的,像是所謂雙閘FinFET,其中導電通道主要僅沿著鰭之兩側壁駐存(而非沿著鰭的頂部)。奈米線電晶體(有時稱為環繞式閘極(GAA;gate-all-around)或奈米帶電晶體)被類似地組態成基於鰭的(fin-based)電晶體,但取代其中閘極在三個部分上(因而有三個有效閘極)的鰭狀通道區域的是,一或多個奈米線被使用於通道區域且閘極材料一般圍繞各個奈米線。
200‧‧‧基板
202‧‧‧鰭
203‧‧‧鰭202的部分
204‧‧‧鰭202的部分
208‧‧‧奈米線通道區
210‧‧‧硬掩膜
215‧‧‧溝槽
220‧‧‧淺溝槽隔離層
230‧‧‧垂直隔離結構
242‧‧‧虛設閘極介電質
244‧‧‧虛設閘極電極
250‧‧‧側壁間隔層
262‧‧‧主部分
264‧‧‧蓋頂層部分
270‧‧‧層間介電層
282‧‧‧閘極介電層
284‧‧‧閘極
290‧‧‧源極(S)/汲極(D)接觸
1000‧‧‧計算系統
1002‧‧‧主機板
1004‧‧‧處理器
1006‧‧‧通訊晶片
圖1闡述依據本揭露之一或多個實施例形成包括一或多個電晶體的積體電路之方法,該電晶體包括採用雙電荷摻雜劑的源極/汲極(S/D)。
圖2A~H闡述依據各種實施例當實現圖1之方法時形成的範例積體電路結構。
圖2D’闡述依據實施例包括垂直隔離結構之圖2D的範 例結構。
圖2H’闡述依據實施例包括圖2D’之垂直隔離結構的圖2H之範例結構。
圖3闡述依據本揭露之一些實施例以使用於此揭示的技術形成的積體電路結構及/或電晶體裝置來實施的計算系統。
本實施例之這些及其它特徵藉由讀取下列詳細說明、採用連同於此描述的圖將更佳地了解。在圖式中,在各種圖中闡述的各個相同或差不多相同的組件可由相似的數字來代表。為了明確的目的,不是每一個組件會被標號於每個圖式中。進一步而言,如將理解的,並不必要按比例繪圖或打算將所述的實施例限制到所繪示的特定組態。舉例而言,在當一些圖泛指直線、直角及平滑表面的同時,揭露的技術之實際實施可具有較不完全的直線及直角,並且給定真實世界的製造過程之限制下,一些特徵可具有表面形貌或另以是非平滑的。更進一步,在圖式中的一些特徵可包括圖案化及/或陰影的填充,其主要被提供來在視覺地區別不同特徵上進行協助。簡而言之,圖僅被提供來繪示範例結構。
【發明內容】及【實施方式】
源極/汲極(S/D)接觸電阻為在電晶體效能上的限制因子,像是在場效電晶體(FET;field-effect transistor)之效能上。這類S/D接觸電阻能被視為外部電阻(Rex或 Rext)之成分。用於改善S/D接觸電阻的技術包括了,增加接近S/D接觸介面的典型n型或p型摻雜劑之摻雜濃度(例如,源極-源極接觸介面和汲極-汲極接觸介面)。這類增加的摻雜可相對非常的高,像是變質(degenerate)或接近變質(near-degenerate)的摻雜準位(例如,在矽的範例情形中大於每立方公分(cm)6E18個原子)。用於IV族半導體材料的典型摻雜劑(例如,矽(Si)、鍺(Ge)、SiGe)包括用於n型摻雜劑(施體)的磷(P)和砷(As)以及用於p型摻雜劑(受體)的硼(B)。然而,這類的摻雜劑(例如,P、As以及B)為單電荷摻雜劑,其具有變質限制且亦相對在能於S/D接觸介面處達成的能障(energy barrier)上總體減少的限制。
因此且依據本揭露之一或多個實施例,提供用於形成包括採用雙電荷摻雜劑之源極和汲極(S/D)區的電晶體的技術。如能基於此揭露所能了解的,無論單獨使用雙電荷(或雙載子)摻雜劑或與單電荷(或單載子)摻雜劑(例如,P、As及B)結合,能減少在源極及汲極區(半導體)與他們分別的接觸(金屬)之間半導體/金屬介面處的能障,從而改善(藉由降低)在S/D位置處的接觸電阻。在一些實施例中,雙電荷摻雜劑可被導入於給定S/D區之頂部部分中,像是在該區域之主S/D材料上形成的蓋頂層(cap)中,使得雙電荷摻雜的S/D材料位在例如S/D區與對應的接觸之介面處。在一些這類的實施例中,蓋頂層可為相異的層,同時在其它這類實施例中,包括雙電荷摻雜劑的蓋頂層可例如為主S/D材料之部分。仍在其它實施例中,雙電荷摻 雜劑可被包括在給定S/D區之整體中,而非正好靠近S/D接觸介面。在更一般的意義上,能使用眾多的S/D結構,包括多層結構(例如,具有主部分和蓋頂層組態的雙層構造)或具有一或多個漸變成分的單一連續S/D層,漸變成分包括接近接觸介面的某處漸變成S/D結構的雙電荷摻雜劑。在一些實施例中,用於IV族S/D半導體材料的雙電荷摻雜劑包括硫(S)、硒(Se)、碲(Te)或這些之某種結合。
請注意,如於此使用的IV族半導體材料(或IV族材料或IV材料)包括至少一IV族元素(例如,碳、矽、鍺、錫、鉛),來舉一些例子,像是矽(Si)、鍺(Ge)、矽化鍺(SiGe)、矽鍺與碳的合金(SiGe:C)或鍺錫(GeSn)。亦要注意的是,S、Se及/或Te之雙電荷摻雜劑能被使用於p型和n型摻雜的S/D區兩者中,因而依據一些實施例,於此所述的技術能被使用於p型電晶體裝置(例如,p-MOS)和n型電晶體裝置(例如,n-MOS)。進一步,於此說明的技術可被使用來有益於互補式電晶體電路(像是CMOS電路),其中S、Se及/或Te之雙電荷摻雜劑可被使用來有益於組成CMOS電路之包括的n型和p型電晶體之一或兩者。再進一步,雖然於此揭示的技術首要在包括IV族半導體材料(例如,Si、Ge、SiGe)的S/D區之內文中說明,但此技術及原理可能可應用於其它半導體材料,例如像是使用用於包括III-V族半導體材料的S/D區之合適的雙電荷摻雜劑。
使用於此提供的技術和結構可使用工具為可偵測的,工具像是:電子顯微鏡,包括掃描式/穿透式電 子顯微鏡(SEM/TEM)、掃描穿透式電子顯微鏡(STEM)以及反射式電子顯微鏡(REM);合成映射(composition mapping);x射線結晶或繞射(XRD;x-ray crystallography or diffraction);能量分散x射線光譜(EDS;energy-dispersive x-ray spectroscopy);二次離子質譜儀(SIMS;secondary ion mass spectrometry);飛行式SIMS(ToF-SIMS;time-of-flight SIMS);原子探針(atom probe)成像或斷層攝影術(tomography);局部電極原子探針(LEAP;local electrode atom probe)技術;3D斷層攝影術;或高解析物理或化學分析,上述用以舉出些許合適的範例分析工具。特別是,在一些實施例中,這類工具可指示包括電晶體的積體電路,該電晶體具有包括IV族半導體材料及雙電荷摻雜劑(例如,S、Se及/或Te)的至少S/D區,如於此的各種描述。在一些實施例中,雙電荷摻雜劑可僅出現在給定S/D區之部分,像是在蓋頂層或頂部部分中,使得雙電荷摻雜劑係例如在S/D區之介面及對應的S/D接觸處,用以降低在該介面處的電阻且改善電晶體效能。在一些實施例中,雙電荷摻雜劑可位於給定IV族半導體材料S/D區之整體中;然而,不需要為這種情形。進一步,在一些實施例中,典型的單電荷摻雜劑,像是用於n型摻雜的IV族材料的磷(P)及/或砷(As)或是用於p型摻雜的IV族材料區的硼(B),亦可出現在S/D區中。進一步,在一些實施例中,在S/D區之至少部分摻雜的總濃度可為非常的高,使得該S/D部分被變質地摻雜,藉以(雙電荷摻雜劑或雙電荷與單電 荷摻雜劑之組合的)該摻雜濃度大於每立方cm有1E18、1E19、1E20、5E20、1E21、或5E21原子,或是如按照此揭露將為顯見的一些其它合適的臨界濃度。按照本揭露,眾多的組態和變異將為顯見的。
架構和方法
圖1闡述依據本揭露之一或多個實施例形成包括一或多個電晶體的積體電路之方法100,該電晶體包括採用雙電荷摻雜劑的源極/汲極(S/D)。圖2A~H闡述依據各種實施例當實現圖1之方法100時形成的範例積體電路結構。在S/D區中包括雙電荷摻雜劑提供了如基於此揭露所能了解的益處,像是改善(藉由降低)S/D接觸電阻。依據一些實施例,圖1之方法100包括主要垂直流程,其闡述後閘極(gate last)電晶體製造程序流程。然而,在其它實施例中,可如於此所闡述的使用先閘極(gate first)程序流程(並且其以在圖1中的選替的先閘極流程100’指標來闡述)。為了方便闡述,在形成鰭狀電晶體組態(例如,FinFET或三閘)的內文中於此主要描繪及說明圖2A~H之結構。然而,取決於終端使用或目標應用,能使用該些技術以形成任何合適的幾何或組態。例如,圖2G闡述包括具有奈米線組態之電晶體的範例積體電路結構,如下面將更詳細地說明的。
能從於此所述的技術得益的各種範例電晶體包括(但不限於)場效電晶體(FET)、金屬氧化物半導體 FET(MOSFET)、穿隧式FET(TFET)、平面組態電晶體、鰭狀組態電晶體(例如,FinFET、三閘)以及奈米線(或奈米帶或環繞式閘極)組態電晶體。此外,能使用該些技術以助益於p型裝置(例如,p-MOS及p-TFET)及/或n型裝置(例如,n-MOS及n-TFET)。進一步,可使用該些技術以形成互補式MOS(CMOS)及/或互補式TFET(CTFET)電晶體/裝置/電路,其中包括的p型和n型電晶體其一者或兩者如於此各種的說明在S/D區中可包括雙電荷摻雜劑。其它範例電晶體裝置例如包括幾個到單一電子量子(electron quantum)電晶體裝置。再進一步,這類裝置可例如採用三維晶體以及二維晶體或奈米管的半導體材料。在一些實施例中,可使用該些技術助益於不一比例之裝置,像是在微米(micron)範圍中及/或在奈米(nm)範圍中具有臨界維度(例如,在22、14、10、7、5或3nm製程節點或以上形成)之IC裝置。
依據實施例,圖1之方法100包括在基板200上圖案化102硬掩膜210以形成在圖2A中繪示之範例的導致結構。如按照本揭露將為顯見的是,硬掩膜210可使用任何合適的技術在基板200上形成或沉積。例如,硬掩膜210可使用化學汽相沉積(CVD;chemical vapor deposition)、原子層沉積(ALD;atomic layer deposition)、物理汽相沉積(PVD;physical vapor deposition)、旋塗處理及/或用以在基板200上形成硬掩膜210的任何其它合適的程序來在基板200上沉積或另以生長的覆蓋層(blanket)。在一些實例 中,在沉積硬掩膜210材料之前可處理(例如,化學處理(chemical treatment)、熱處理(thermal treatment)等)硬掩膜210用以沉積在其上的基板200之頂部表面。能使用任何合適的技術來圖案化102硬掩膜210,例如像是一或多種微影及蝕刻程序。硬掩膜210可包括任何合適的材料,例如像是各種氧化物或氮化物材料。特定氧化物及氮化物材料可包括氧化矽(silicon oxide)、氧化釱(titanium oxide)、氧化鉿(hafnium oxide),氧化鋁(aluminum oxide),氮化矽(silicon nitride)或氮化鈦(titanium nitride),以上就只是舉幾個例子。在一些情形中,可例如基於基板200之材料選擇硬掩膜210的材料。
在一些實施例中,基板200可包括:塊體基板,其包括IV族半導體材料,像是矽(Si)、鍺(Ge)、矽化鍺(SiGe)或碳化矽(SiC)及/或至少一III-V族材料及/或如按照本揭露將為顯見的任何其它合適的材料;絕緣體上X(XOI)結構,其中X為前述材料其中一者(例如,IV族及/或III-V族),並且絕緣體材料為氧化物材料或介電材料或一些其它電絕緣材料;或一些其它合適的多層結構,其中頂層包括前述材料其中一者(例如,IV族及/或III-V族)。請注意,如於此使用的IV族半導體材料(或IV族材料或IV材料)包括至少一IV族元素(例如,碳、矽、鍺、錫、鉛),像是Si、Ge、SiGe或SiC,上述用來舉一些例子。請注意,如於此使用的III-V族半導體材料(或III-V族材料或III-V材料)包括至少一III族元素(例如,鋁、鎵、銦、硼、鉈) 和至少一V族元素(例如,氮、磷、砷、銻、鉍),像是氮化鎵(GaN)、砷化鎵(GaAs)、氮化銦鎵(InGaN)以及砷化銦鎵(InGaAs),上述用來舉一些例子。在一些實施例中,如按照此揭露將為顯見的是,基板200可包括由<100>、<110>或<111>或其等效之米勒指數(Miller Index)所描述的結晶取向(crystalline orientation)。雖然在此範例實施例中基板200係繪示為具有類似於其它層的厚度(在Y軸方向上的維度)以為了方便說明,但在一些實例中,基板200可比其它層要更厚的多,例如像是具有在50到950微米範圍中的厚度或是如按照本揭露將為顯見的其它合適的厚度。在一些實施例中,取決於終端使用或目標應用,可使用基板200以用於一或多個其它IC裝置,像是各種二極體(例如,發光二極體(LED)或雷射二極體)、各種電晶體(例如,MOSFET或TFET)、各種電容器(例如,MOSCAP)、各種微機電系統(MEMS;microelectrormechanical system)、各種奈米機電系統(NEMS;nanoelectromechanical system)、各種感測器或任何其它合適的半導體或IC裝置。據此,在一些實施例中,於此說明的結構可被包括在晶片上系統(SoC)應用中,如按照本揭露將為顯見的。
依據實施例,圖1之方法100繼續進行104淺溝槽凹陷(STR;shallow trench recess)蝕刻以從基板200形成鰭202,從而形成在圖2B中繪示之導致的範例結構。使用以形成溝槽215和鰭202的STR蝕刻104可包括任何合適的技術,例如像是各種遮蔽程序和濕及/或乾蝕刻程序。在 一些情形中,可在就地/沒有空氣斷開下原位的進行STR蝕刻104,同時在其它情形中,可例如易地的進行STR蝕刻104。溝槽215可以如能基於此揭露所能了解不一的寬度(在X軸方向上的維度)及深度(在Y軸方向上的維度)。例如,可進行多個硬掩膜圖案化102及STR蝕刻104程序來達成在鰭202之間的溝槽215中不一的深度。可行成鰭202以具有不一的寬度Fw(在X軸方向上的維度)及高度Fh(在Y軸方向上的維度)。例如,在縱橫比捕獲(ART;aspect ratio trapping)積體方案中,可形成鰭以具有特高度對寬度比,使得當他們之後被移除或凹陷時,導致形成的溝槽在當材料垂直地生長時對於沉積的替換材料中的缺陷允許終止於側表面上,側表面像是非結晶/介電側壁,其中側壁相對於生長面積之尺寸是足夠高的,以致若使用這類ART方案,則捕獲大多數(若非所有)的缺陷。
在一些實施例中,鰭寬度Fw可在例如5~400nm的範圍中,或任何其它合適的值,如按照此實施例將為顯見的。在一些實施例中,鰭高度Fh可在例如10~800nm的範圍中,或任何其它合適的值,如按照此實施例將為顯見的。在採用縱橫比捕獲(ART;aspect ratio trapping)方案的實施例中,可形成鰭以具有特高度對寬度比,使得當他們之後被凹陷時及/或移除時,導致形成的鰭溝槽在當材料垂直地生長時對於沉積的替換材料中的缺陷允許終止於側表面上,側表面像是非結晶/介電側壁,其中側壁相對於生長面積之尺寸是足夠高的,以致捕獲大 多數(若非所有)的缺陷。在這類的範例情形後,鰭之高度對寬度比(Fh:Fw)可大於1,像是大於1.5、2、2.5、3、3.5、4、4.5、5、6、7、8、9或10或是任何其它合適的臨界比率,如按照此揭露將為顯見的。請注意,在此範例結構中為了方便說明,溝槽215和鰭202各者被繪示為具有相同寬度和深度/高度;然而,本揭露並不打算要如此限制。例如,在一些實施例中,可形成鰭202以具有不一的高度Fh及/或不一的寬度Fw。再者要注意的是,雖然在圖2B之範例結構中繪示四個鰭202,但可形成任何數目的鰭,像是一、二、十、百、千、百萬等等,如基於此揭露所能了解的。
依據實施例,圖1之方法100繼續沉積106淺溝槽隔離(STI;shallow trench isolation)層220且平坦化以形成在圖2C中所繪示導致的範例結構。在一些實施例中,STI層220之沉積106可包括於此說明的任何沉積法(例如,CVD、ALD、PVD)或任何其它合適的沉積法。STI層220之材料可包括任何合適的絕緣材料,像是一或多個介電質、氧化物(例如,二氧化矽)或氮化物(例如,氮化矽)材料。在一些實施例中,STI層220之材料可基於基板200之材料來選定。舉例而言,在Si基板的情形中,STI材料可為二氧化矽或氮化矽,其用來提供一範例。
依據實施例,圖1之方法100繼續將STI材料220凹陷108以引起鰭202之至少部分204來自STI平面滲出,從而形成在圖2D中所繪示導致的範例結構。如在圖2D 中繪示,在STI層220之頂部平面(指示為204)上面滲出的鰭202之部分204具有主動鰭高度(指示為Fah),其可例如在10~750nm之範圍中,或任何其它合適的值,如按照此揭露將為顯見的。亦如所繪示的,在STI層220之頂部平面下面的鰭202之部分203為鰭下(sub-fin)部分(指示為203)。請注意,在此範例實施例中,鰭202(包括部分203及204)原生於基板200。換言之,在此範例實施例中鰭202已自基板200形成且包括在圖2D之結構中相同的材料,使得鰭202(包括部分203及204)和基板200為一個同質結構。然而,在其它實施例中,可例如移除鰭202之一些或所有且以替換鰭來替換。在一些這類實施例中,處理可從圖2C之結構繼續且包括蝕刻鰭202(例如,使用任何合適的濕及/或乾蝕刻程序),用以在STI層220之間形成鰭溝槽,其中完全的或部分的蝕刻移除鰭202(例如,分別完全進行/越過STI層220之底部平面或不進行其一者)。在這樣的實施例中,能使用鰭溝槽以用於替換材料之沉積,並且繼續凹陷程序108會造成為替換鰭的圖2D之鰭(其可包括與包括在基板200中的不同的材料)。在一些這樣的實施例中,替換材料可包括IV族半導體材料及/或III-V族半導體材料,及/或如按照此揭露將為顯見的任何其它合適的材料。舉例而言,包括SiGe的替換鰭可在這類以SiGe材料處理及替換他們期間藉由移除原生Si鰭來形成,上述用來提供一範例。請注意,在其中鰭被移除和替換(因而並非原生鰭)的一些這類實施例中,可採用ART處理方案,其中鰭溝槽具有高縱 橫比(例如,大於1、1.5、2、3、4、5或更高的值的高寬比)。可例如採用這類ART處理方案以捕獲差排(dislocation),從而防止差排到達磊晶膜表面且大大地降低在溝槽內的表面差排密度。
依據實施例,不論主動鰭部分204是否為原生於基板200,圖1之方法100可如在圖2D’中所繪示選擇性地繼續形成110垂直隔離結構230。如基於此揭露能了解的,圖2D’闡述圖2D之範例結構,包括垂直隔離結構230。因此,相對圖2D之範例結構之先前有關說明同等地可應用至圖2D’的範例結構。在一些實施例中,可例如形成垂直隔離結構230以進一步將單鰭或成群的鰭隔離(或電隔離)。舉例而言,在圖2D’之範例結構中,出現這類垂直隔離結構且可被包括以藉由確保分別的S/D區保持分開來防止一電晶體裝置之最後S/D區對另一(例如,鄰近)電晶體裝置之S/D短路。據此,這類垂直隔離結構230可使用任何合適的技術來形成,且當本結構230可包括任何合適的電絕緣體材料,例如像是介電質、氧化物、氮化物及/或碳化物材料。請注意,雖然垂直隔離結構230(在Y軸方向上的維度)高於鰭204,但本揭露不並打算如此限制。也同樣的,因為垂直隔離結構230不需要出現在一些揭露中,因他們是可選的,方法100將繼續使用不具有垂直隔離結構230的IC結構來說明,以為了方便說明。
回想於此主要在後閘極電晶體製造過程流程的內文中說明方法100,其中該處理的步驟包括形成虛設 閘極堆疊、形成S/D處理以及接著在已處理S/D區之後形成最終閘極堆疊。然而,在其它實施例中,可使用先閘極程序流程進行該技術。在這類的範例情形中,程序112-形成虛設閘極堆疊-不會被進行,因而程序112在一些實施例中為可選的(像是採用先閘極程序流程的該些者)。此係反映在圖1之程序流程的右側上,其中進行116最終閘極堆疊處理116可例如在進行114 S/D處理之前進行。然而,方法100之說明將繼續使用後閘極程序流程,用以允許這類的流程(其可包括額外的程序)用以充分的說明。
依據實施例,圖1之方法100繼續形成112虛設閘極堆疊,包括虛設閘極介電質242和虛設閘極電極244,從而形成圖2E之範例導致的結構。如上所述,程序112為可選擇的,因為其不需要在所有實施例中進行(像是採用先閘極程序流程的該些者)。在此範例實施例中,虛設閘極介電質242(例如,虛設氧化物材料)和虛設閘極或虛設閘極電極244(例如,虛設多晶矽材料)可被使用於替換閘極程序。例如請注意,亦已在虛設閘極堆疊之其一側上形成側壁間隔層250,其一般稱為閘極間隔層(或簡單稱為間隔層),並且這類的間隔層250能幫助決定通道長度且能以替換閘極程序來幫助。如能基於此揭露所能了解的,虛設閘極堆疊(和間隔層250)幫助界定各鰭的通道區和源極/汲極(S/D)區,其中通道區低於虛設閘極堆疊(如其將位於最終閘極堆疊下面),並且S/D區在虛設閘極堆疊之其一側上。請注意,在一些實施例中,因為IC結構正要在形成鰭 狀的電晶體之內文中說明,故最終閘極堆疊亦將相鄰於鰭之其一側,如閘極堆疊將沿著鰭狀通道區域的三個壁而駐存。例如,虛設閘極堆疊之形成可包括:沉積虛設閘極介電材料242及虛設閘極電極材料244,圖案化虛設閘極堆疊,沉積閘極間隔層材料250以及形成間隔層蝕刻用以形成在圖2E中繪示的結構。間隔層250可包括任何合適的材料,像是任何合適的電絕緣體、介電質、氧化物(例如,氧化矽)及/或氮化物(例如,氮化矽)材料,如按照此揭露將為顯見的。請注意,在一些實施例中,於此說明的技術不需要包括形成虛設閘極堆疊,使得最終閘極堆疊可在第一實例中形成。無論如何,末端結構將包括末端閘極堆疊,如按照此揭露將為顯見的。亦要注意的是,在一些實施例中,硬掩膜(未繪示)可例如在虛設閘極堆疊之上形成(其亦可在間隔層250之上形成),用以在後續處理期間保護虛設閘極堆疊。
依據實施例,圖1之方法100繼續進行114源極/汲極(S/D)處理以形成圖2F之範例導致的結構。如在圖2f中所繪示,在此範例實施例中,結構包括S/D區,其具有主部分262和蓋頂層部分264。在一些實施例中,可使用任何合適的技術形成S/D區,例如像是將要被處理的S/D區之外側的區域掩蔽、從圖2E之結構蝕刻鰭之部分(在此範例情形中,如繪示,主動部分204已被蝕刻及移除,留下僅鰭下部分203)以及形成/沉積/生長S/D區(例如,使用任何合適的技術,像是CVD、ALD、PVD),包括主部分262和 蓋頂層部分264。然而,在一些實施例中,原生鰭204材料(亦即,原生於基板200)可保持在S/D區中,其中摻雜這類原生材料以形成最終S/D區。在一些這類實施例中,S/D區可或不可包括額外的蓋頂層部分(像是蓋頂層264)。因此,主S/D部分262之材料可為原生的或替換材料,使得可或不可有在鰭下部分203與主S/D部分262之間的清晰界面(distinct interface)。在此範例實施例中,如在圖2F中所繪示,主S/D部分262之材料為替換材料,在特徵262與203之間有清晰界面。請注意,在其中主S/D部分262包括原生材料的一些實施例中,例如,因為雜質摻雜劑導入到主S/D部分,在主S/D部分262與鰭下203之間仍可有清晰界面。在一些實施例中,主S/D部分262及/或S/D蓋頂層264可例如具有多層結構,包括多材料層。在一些實施例中,主S/D部分262及/或S/D蓋頂層部分264在部分之一些或所有中可包括一或多個材料之漸變的(例如,增加的及/或漸少的)含量/濃度。
在一些實施例中,可一次一種極性形成S/D區,像是對於n型和p型S/D區其中一者進行處理,且接著對於n型和p型S/D區之其中剩餘者進行處理。在一些實施例中,S/D區可包括任何合適的材料,像是IV族材料(例如,Si、SiGe、Ge)或任何其它合適的材料,且可包括任何合適的摻雜方案,如按照此揭露將為顯見的。在其中在通道區之其一側上對應的S/D區(例如,在閘極堆疊之其一側上)係用以被使用於MOSFET裝置的實施例中,在p-n- p(例如,用於p-MOS)或n-p-n(例如,用於n-MOS)任一者的源極-通道-汲極摻雜方案中S/D區可例如包括相同類型的摻雜劑,其中「n」代表n型摻雜且「p」代表p型摻雜。在其中在通道區之其一側上對應的S/D區(例如,在閘極堆疊之其一側上)係用以被使用於TFET裝置的實施例中,在p-i-n(例如,用於p-TFET)或n-i-p(例如,用於n-TFET)任一者的源極-通道-汲極方案中S/D區可例如包括相反類型的摻雜劑,其中「i」代表本質材料(例如,未摻雜或相對最低摻雜的,像是少於每立方cm 1E16個原子之摻雜濃度)。在一些實施例中,有大量的電晶體類型,因而可採用S/D方案。舉例而言,在圖2F的結構中,四組S/D區可包括摻雜組態,其為全部相同、一些相同或沒有相同,如基於此揭露所能了解的(請注意,在圖2F中,為了方便說明僅指示一S/D區組,其為左側算來第二的組)。進一步,在一些實施例中,S/D區可包括雙電荷摻雜劑(例如,S、Se及/或Te),如在下面將更詳細說明的。
在一些實施例中,如先前陳述的,可摻雜S/D區(在圖2F之範例實施例中,其各種可包括主S/D部分262和S/D蓋頂層部分264)。例如,這類摻雜刻意將雜質導入半導體材料中以調製半導體材料之電性質。因此,在一些實施例中,可使用這類雜質摻雜以改變S/D區之IV族半導體材料的電性質。在一些實施例中,可使用任何合適的技術摻雜S/D區,像是經由離子佈植及/或沉積具有主要IV族半導體材料的摻雜劑,及/或使用按照此揭露將為顯見的 任何其它合適的技術。在其中使用佈植的實施例中,摻雜劑之佈植可以例如到原生及/或替換S/D材料中。進一步,在其中使用佈植的實施例中,可例如以或不以預非晶化(preamorphizing)處理來佈植雜質摻雜劑。可如所欲的進行任何數目的摻雜過程,用以在一或多個S/D區之主要IV族半導體材料中導入雙電荷及/或單電荷,如按照此揭露將為顯見的。
在一些實施例中,可在一或多個S/D區中形成雙電荷摻雜劑,因而雙電荷摻雜劑被包括在該一或多個S/D區中,其可例如包括對應的S/D部分262和S/D蓋頂層部分264。當在S/D區中主要材料為IV族半導體材料時,合適的雙電荷摻雜劑包括(但不限於)硫(S)、硒(Se)及碲(Te)。在一些實施例中,例如,雙電荷摻雜劑(例如,S、Se及/或Te)可僅存在於用於給定的S/D區的S/D蓋頂層部分264中,同時在其它實施例中,雙電荷摻雜劑亦可出現在主S/D部分262。回想在一些實施例中,S/D區不需要包括蓋頂層部分264,使得S/D區可被不同地組態。不論組態為何,雙電荷摻雜劑(例如,S、Se及/或Te)可例如出現在給定S/D區之至少部分中,使得至少部分與分別的S/D接觸物理地接觸(或在S/D接觸與S/D區之間的介入層(intervening layer))。雙電荷摻雜劑(例如,S、Se及/或Te)可以任何所欲的濃度出現在給定S/D區中(無論在給定S/D區之整體中或僅在部分中,像是僅僅S/D蓋頂層部分264),像是以每立方公分(cm)1E15到1E22之範圍中的濃度(例如,在每立 方cm有5E19到5E21原子的範圍中)或按照此揭露將為顯見的任何其它合適的濃度。在範例實施例中,雙電荷摻雜劑濃度可為約每立方cm有2E20個原子(例如,每立方cm有2E20正/負1E20個原子)。在S/D區之IV族半導體材料中包括雙電荷摻雜劑(例如,S、Se及/或Te)能有益於n型和p型S/D兩者,如基於此揭露所能了解的。請注意,在一些實施例中,例如雙電荷摻雜劑可僅出現在電晶體裝置之源極和汲極區其中一者中,而非同在兩者中。舉例而言,在TFET裝置的情形中,在範例實施例中,雙電荷摻雜劑可僅出現在S/D極性其中一者中,且在此特定範例實施例中,因為TFET具有p-i-n或n-i-p之源極-通道-汲極組態,故雙電荷摻雜劑會僅在TFET裝置之源極和汲極區之其中一者中,而不會在其中另一者中。
在一些實施例中,典型單電荷摻雜劑亦可被包括在S/D區之一或多者中,其可例如包括對應的主S/D部分262及/或S/D蓋頂層部分264。回想,用於IV族半導體材料(例如,Si、SiGe、Ge)之典型的單電荷摻雜劑包括用於n型摻雜劑(施體)的磷(P)及砷(As)以及用於p型摻雜劑(受體)的硼(B);雖然,其它合適的單電荷摻雜劑可對本領域之者為已知的。然而,這類單電荷摻雜劑不需要出現在用於給定S/D區的主S/D部分262及S/D蓋頂層部分264之兩者中,但可僅出現在該兩個部分其中之一中。進一步,在一些實施例中,僅雙電荷摻雜劑(例如,S、Se及/或Te)可出現在給定S/D區中。在其中單電荷摻雜劑被包括在給定S/D 區中的實施例中,他們可以任何所欲的濃度出現(無論在給定S/D區之整體中或僅部分中,像是僅僅主S/D部分262),像是在每立方cm有1E15到1E22個之範圍中的濃度(例如,在每立方cm有1E20到5E21原子的範圍中)或按照此揭露將為顯見的任何其它合適的濃度。在一些實施例中,使用圖2F之結構,給定S/D區之主S/D部分262可僅以典型單電荷摻雜劑來摻雜而S/D蓋頂層部分264可以僅雙電荷摻雜劑或是以雙電荷摻雜劑及單電荷摻雜劑來摻雜,上述用以提供一些範例組態。請注意,在一些情形中,在摻雜處理及/或後續處理之結果期間,在S/D區之部分中的摻雜劑可擴散到S/D區之另一部分中。舉例而言,在其中雙電荷摻雜劑被導入到給定S/D區之S/D蓋頂層部分264中但未到該S/D區之主S/D部分262中的範例實施例中,雙電荷摻雜劑之一些者可以比出現在S/D蓋頂層部分264中之者較小的濃度擴散到主S/D部分262中。在一些實施例中,可所欲的是例如在雙施體的狀態組與傳導帶(conduction band)之間的帶隙中具有最高總載子濃度和連續成組的載子狀態,如基於此揭露所能了解的。
在一些實施例中,舉例來說,在半導體材料中摻雜之級數可為非常之高,使得半導體材料為所指為變質摻雜的東西,藉以該半導體材料開始行為比半導體更像導體(例如,金屬)(例如,在電載子的含義上不能夠在低溫被凍結)。舉例而言,在一些情形中,當雜質摻雜劑(無論他們為單電荷、雙電荷或其一些組合)出現在大於每立方 cm有1E18、1E19、1E20、5E20、1E21或5E21個原子或如按照此揭露將為顯見的一些其它合適的臨界摻雜級數時,IV族半導體材料(例如,Si)可被視為變質摻雜的。在一些實施例中,S/D蓋頂層部分264(或與S/D接觸實體接觸的S/D之部分,其中S/D蓋頂層264為未出現)之變質摻雜可為所欲的,用以降低與S/D接觸的接觸電阻,且從而改善/增強電晶體之效能。如基於此揭露所了解的,在一些實施例中,除了用於IV族半導體材料的典型單電荷摻雜劑(例如,P、As、B)之外,雙電荷摻雜劑(例如,S、Se及/或Te)可被添加至S/D區。在這類實施例中,藉由使用雙電荷摻雜劑(單獨的或還有單電荷摻雜劑),能增強摻雜劑雜質帶之變質狀態以降低S/D接觸電阻。換言之,在這類實施例中,使用雙電荷摻雜劑能在S/D區-S/D接觸介面處減少能障(energy barrier);從而改善接觸電阻且改善電晶體效能。如基於此揭露所能了解的,較高級數的摻雜變質能夠藉由將雙電荷摻雜劑導入到S/D區中來達成而非藉由僅僅使用單電荷摻雜劑可以達成之者。
請注意,在一些實施例中,S/D區不需要包括蓋頂層部分,像是如在圖2F中繪示的部分264。因此,這類的部分為可選擇的。舉例而言,在一些實施例中,雙電荷摻雜劑(例如,S、Se及/或Te)可例如出現在S/D之主部分中。在一些這類實施例中,雙電荷摻雜劑可出現在S/D之主部分的整體中(因而出現在S/D區之整體中),或S/D之主部分可為多層的及/或漸變的結構,使得雙電荷摻雜劑 僅在多層S/D之一層中及/或雙電荷摻雜劑以漸變的方式在S/D材料中某點處被導入(例如,以增加的摻雜劑之濃度)。然而,無論雙電荷摻雜劑如何被包括在S/D區中,在一些實施例中,為了以S/D接觸提供相對增強的接觸電阻,雙電荷摻雜劑應至少在S/D區之部分中,其實體地接觸/觸碰S/D接觸(其典型地包括金屬材料,如於此更詳細說明的)。按照本揭露,眾多的電晶體S/D組態和變異將為顯見的。
圖1之方法100繼續進行116閘極堆疊處理以形成圖2G之範例導致的結構。如在圖2G中所繪示,在此範例實施例中的處理已包括在圖2F之結構上沉積層間介電(ILD;interlayer dielectric)層270,隨後可選的平坦化及/或研磨用來顯露虛設閘極堆疊。請注意,在圖2G之範例結構中ILD層270被繪示為透明的,以允許下層特徵被看見;然而,本揭露並不打算如此限制。在一些實施例中,ILD層270可包括任何合適的電絕緣體、介電質、氧化物(例如,氧化矽)及/或氮化物(例如,氮化矽)材料,如按照此揭露將為顯見的。在此範例實施例中,繼續移除虛設閘極堆疊(包括虛設閘極244和虛設閘極介電質242)的閘極堆疊處理,用以允許最終閘極堆疊來被形成。回想,在一些實施例中,最終閘極堆疊之形成(其包括閘極介電層282和閘極(或閘極電極)284)可使用先閘極流程(亦稱預先高k閘極(up-front hi-k gate))。在這類實施例中,在程序108之後或在可選的程序110之後(在實施例中,其中進行程序110)且 在S/D處理114之前,已進行閘極處理。然而,在此範例實施例中,閘極堆疊係使用後閘極流程(亦稱替換閘極或替換金屬閘極(RMG;replacement metal gate)程序)來形成。在這類後閘極處理中,如先前所述,程序可包括虛設閘極氧化物沉積、虛設閘極電極(例如,多晶矽)沉積以及可選地圖案化硬掩膜沉積。不論採用先閘極或後閘極處理,最終閘極堆疊能包括閘極介電層282和閘極284,如在圖2G中所繪示。
請注意,當移除虛設閘極時,暴露鰭204之通道區(其由虛設閘極所覆蓋)以允許鰭之通道區的任何所欲的處理。通道區之這類處理可包括各種不同的技術,像是移除和以替換的材料替換通道區、如所欲的摻雜鰭之通道區、將鰭形成到用於環繞式閘極(GAA;gate-all-around)電晶體組態的一或多個奈米線(或奈米帶)中、清除/研磨通道區及/或如按照此揭露將為顯見的任何其它合適的處理。舉例而言,闡述了鰭狀通道區206(其為最右鰭狀結構之通道區),其例如可已藉由將具有所欲合適的n型或p型摻雜劑的原生鰭204摻雜來形成。為了提供另一範例,奈米線通道區208(其為最左鰭狀結構之通道區)例如藉由使用任何合適的技術在該位置將鰭狀結構轉換,可以在已移除虛設閘極且已暴露鰭之通道區之後被形成。如所繪示,奈米線通道區208包括2奈米線(或奈米帶)。然而,使用於此揭露的技術形成的奈米線(或奈米帶或GAA)電晶體可包括任何數目的奈米線(或奈米帶),像是1、2、3、4、5或更 多,取決於所欲的組態。
如按照此揭露所能了解的,通道區至少在閘極堆疊下方。舉例而言,在鰭狀的電晶體組態的情形中,通道區可在閘極堆疊下面及之間(當堆疊如本領域已知的三側上形成時)。進一步而言,在奈米線(或奈米帶或GAA)電晶體組態的情形中,閘極堆疊可實質地(或完全地)包圍在通道區中的奈米線/奈米帶。進一步,在平面電晶體組態的情形中,閘極堆疊可簡單地在通道區上方。在一些實施例中,通道區包括IV族半導體材料,像是Si、Ge、SiGe、SiGe:C及/或GeSn,上述用以提供一些範例。在一些實施例中,通道區可為摻雜的(例如,以任何合適的n型及/或p型摻雜劑)或未摻雜的,取決於特定組態。請注意,例如S/D區相鄰於通道區之其一側,如在圖2G中所能見到的。亦要注意的是,使用於此所述的技術形成的電晶體之組態/幾何可例如主要基於該電晶體之分別通道區的形狀/組態來說明。舉例而言,奈米線(或奈米帶或GAA)電晶體可指的是即如其本身的含義,因為在該電晶體之通道區中其包括一或多個奈米線(或奈米帶)。然而,電晶體類型(例如,MOSFET或TFET或其它合適的類型)可基於源極、汲極及通道之摻雜及/或操作方案來說明,因而可例如使用分別的區域來決定給定電晶體的類型或分類。此對於MOSFET對上TFET電晶體來說是特別的真實,因為他們可為結構上類似(或相同),但包括不同的摻雜方案(例如,p-n-p或n-p-n分別對上p-i-n或n-i-p)。
依據實施例,繼續進行116閘極堆疊處理,在已移除虛設閘極且已進行任何所欲的通道區處理之後,能形成最終閘極堆疊。在此範例實施例中,最終閘極堆疊包括閘極介電層282和閘極284,如在圖2G中所繪示。閘極介電層282可例如包括任何合適的氧化物(像是二氧化矽)、高k閘極介電材料及/或如按照此揭露將為顯見的任何其它合適的材料。高k閘極介電材料之範例舉例來說包括:氧化鉿(hafnium oxide)、矽氧化鉿(hafnium silicon oxide)、氧化鑭(lanthanum oxide)、氧化鑭鋁(lanthanum aluminum oxide)、氧化鋯(zirconium oxide)、矽氧化鋯(zirconium silicon oxide)、氧化鉭(tantalum oxide)、氧化鈦(titanium oxide)、氧化鋇鍶鈦(barium strontium titanium oxide)、氧化鋇鈦(barium titanium oxide)、氧化鍶鈦(strontium titanium oxide)、氧化釔(yttrium oxide)、氧化鋁(aluminum oxide)、氧化鉛鈧鉭(lead scandium tantalum oxide)以及鉛鋅鈮酸鹽(lead zinc niobate),上述用以提供一些範例。在一些實施例中,可在閘極介電層282上實現退火處理(annealing process),用以當使用高k材料時改善其品質。閘極284(或閘極電極)可包括大範圍的材料,像是多晶矽、氮化矽、碳化矽或各種合適的材料或金屬合金,例如像是鋁(Al)、鎢(W)、鈦(Ti)、鉭(Ta)、銅(Cu)、氮化鈦(TiN)或氮化鉭(TaN)。在一些實施例中,閘極介電層282及/或閘極284可例如包括二或多個材料層之多層結構。在一些實施例中,閘極介電層282及/或閘極284在特 徵的至少部分中包括一或多個材料之漸變的(例如,增加的及/或漸少的)含量/濃度。在一些實施例中,額外的層可出現在最終閘極堆疊,例如像是一或多個功函數(work function)層或其它合適的層。請注意,在圖2G的範例實施例中雖閘極介電層282僅繪示於閘極284下方,但在其它實施例中,閘極介電層282亦可例如出現在閘極284之一或兩側上,使得閘極介電層282在閘極284與間隔層250之間。
依據實施例,圖1之方法100繼續進行118S/D接觸處理以形成圖2H之範例導致的結構。如在圖2H中所繪示,在此範例實施例中,已形成S/D接觸290來作成對S/D區之各者的接觸。亦如在圖2H中所繪示,在此範例實施例中,S/D接觸290與S/D蓋頂層部分264實體的接觸,如於此各方面說明的,因為該些部分264包括雙電荷摻雜劑(例如,包括S、Se及/或Te摻雜劑),從而允許在264/290介面處改善的接觸電阻。在其它實施例中,S/D接觸290可與S/D區之某個其它部分實體地接觸,如按照此揭露所能了解的。舉例來說,在其中未出現相異的S/D蓋頂層部分264的實施例中,使得其中每各個S/D區僅有一S/D部分(且這類單S/D部分可包括接近各個單S/D部分之頂部的雙電荷摻雜劑),S/D接觸290可與單S/D部分實體地接觸,上述用以提供範例。在一些實施例中,S/D接觸290可使用任何合適的技術來形成,像是在分別的S/D區之上ILD層270中形成接觸溝槽並且在該溝槽中沉積金屬或金屬合金(或其它合適的導電材料)。在一些實施例中,S/D接觸290形成可例 如包括矽化(silicidation)、鍺化(germinidation)及/或退火程序。在一些實施例中,S/D接觸290可包括鋁或鎢,雖然可使用任何合適的導電金屬或合金,例如像是銀、鎳鉑(nickel-platinum)或鎳鋁(nickel-aluminum)。在一些實施例中,S/D接觸290之一或多者可例如包括電阻降低金屬和接觸栓塞金屬或僅僅為接觸栓塞。範例的接觸電阻降低金屬例如包括鎳、鋁、鈦、金、金鍺、鎳鉑或鎳鋁及/或其它這類電阻降低金屬或合金。範例的接觸栓塞金屬例如包括鋁、銅、鎳、鉑、鈦或鎢或其合金,雖然可使用任何合適的導電接觸金屬或合金。在一些實施例中,如果需要,額外的層可出現在S/D接觸290區中,像是附著層(例如,氮化鈦)及/或襯墊(liner)或障壁層(例如,氮化鉭)。
圖2H’闡述依據實施例包括圖2D’之垂直隔離結構230的圖2H之範例結構。回想,程序110是可選的,使得垂直隔離結構230不需要被包括在IC結構中。然而,在圖2H’的範例結構中,出現兩個這類結構230。這類垂直隔離結構230各者可對在IC製造期間使用的蝕刻程序為蝕刻抗性的(etch resistant)(例如,藉由包括蝕刻抗性材料,像是碳),因而他們可更隔離單一鰭或成群的鰭。舉例而言,如在圖2H’中繪示的,垂直隔離結構230係正將三個最右S/D區與IC結構之外部隔離(像是最左S/D區)。這類組態可為所欲的,其中例如該些三個最右S/D區全為相同極性(例如,全n型或全p型),從而允許該些相同極性S/D區與其它極性S/D區隔離(像是若最左S/D區已為n型或p型的其 餘另一極性)。垂直隔離結構230亦可允許相鄰S/D區及/或S/D接觸之材料合併在一起,從而提供在所欲處的障壁以防止該S/D區及/或S/D接觸材料併入或接觸不欲的材料(像是另一極性之S/D區或接觸)。垂直隔離結構230之眾多的目的和益處按照此揭露將為顯見的,且可形成這類垂直隔離結構230(已出現)且被包括在IC結構中所欲之處。
依據一些實施例,如所欲的,圖1之方法100繼續完成120積體電路(IC)處理。例如,這類用以完成IC的額外處理可包括後段或後段製程(BEOL;back-end-of-line)處理,用以形成一或多個金屬化層及/或用以將形成的電晶體裝置互連。可進行任何其它合適的處理,如按照此揭露將為顯見的。請注意,方法100之程序102~120係以在圖1中特定次序來繪示以為了方便說明。然而,可以不同的次序來進行程序102~120之一或多者或可一點都不進行該些程序。例如,方框110為可選的程序,其若蝕刻抗性的垂直結構並非所欲的,則不需要被進行。進一步,方框112為可選的程序,其例如在採用先閘極程序流程的實施例中不需要被進行。再者,當進行程序116時,這類先閘極程序流程改變,如繪示使用替代的及可選的先閘極流程100’,藉以在進行114 S/D處理之前進行116最終閘極堆疊處理。在方法100上眾多的變異及於此所述的技術按照此揭露將為顯見的。回想,可使用該些技術以形成一或多個電晶體裝置,包括下列之任一者:場效電晶體(FET)、金屬氧化物半導體FET(MOSFET)、穿隧式FET(TFET)、 平面組態、鰭狀組態(例如,fin-FET、三閘、雙閘)及/或奈米線(或奈米帶或環繞式閘極)組態(具有任何數目的奈米線)。此外,形成的裝置可包括p型電晶體裝置(例如,p-MOS及p-TFET)及/或n型電晶體裝置(例如,n-MOS及n-TFET)。進一步,裝置可包括互補式MOS(CMOS)或互補式TFET(CTFET)或量子裝置(少至單一個電子)。眾多變異和組態按照本揭露將為顯見的。
範例系統
圖3闡述依據本揭露之一些實施例以使用於此揭示的技術形成的積體電路結構及/或電晶體裝置來實施的計算系統1000。如能見到的是,計算系統1000容置主機板1002。主機板1002可包括若干個組件,其包括(但不限於)處理器1004和至少一通訊晶片1006,其各者能實體地及電性地耦接至主機板(motherboard)1002,或另以整合於其中。如將理解的是,例如主機板1002可為任何印刷電路板,無論是主板(main board)、安裝在主板上的子板(daughterboard)或僅系統1000的板等。
取決於其應用,計算系統1000可包括可或不可實體地且電性地耦接至主機板1002的一或多個其它組件。這些其它組件可包括(但不限於)揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、圖形處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音訊編解碼器、 視訊編解碼器、功率放大器、全球定位系統(GPS;global positioning system)裝置、羅盤、加速度計、陀螺儀、揚聲器、攝像機以及大量儲存裝置(像是硬碟驅動、光碟(CD)、數位多功能光碟(DVD)等)。依據範例實施例,包括在計算系統1000中的組件之任一者可包括使用揭露的技術形成的一或多個積體電路結構或裝置。在一些實施例中,多個功能被整合到一或多個晶片中(例如,請注意通訊晶片1006能例如為處理器1004的部分或另以被整合到該處理器中)。
通訊晶片1006賦能無線通訊用於傳輸資料到計算系統1000及從該計算系統傳輸資料。可使用術語「無線」及其衍生來描述電路、裝置、系統、方法、技術、通訊通道等,其可透過使用通過非固態媒體之調變的電磁射線來通訊資料。該術語並非暗示關聯的裝置不包含任何線,雖然在一些實施例中他們可能沒有。通訊晶片1006可實施若干個無線標準或協定之任一者,包括(但不限於)Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(LTE;long term evolution)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生以及指定為3G、4G、5G及以上的任何其它無線協定。計算系統1000可包括複數個通訊晶片1006。舉例而言,第一通訊晶片1006可專用於像是Wi-Fi和藍牙的較短範圍無線通訊,並且第二通訊晶片1006可專用於像是GPS、EDGE、 GPRS、CDMA、WiMAX、LTE、Ev-DO及其它者的較長範圍無線通訊。
計算系統1000之處理器1004包括封裝在處理器1004內的積體電路晶粒。在一些實施例中,處理器之積體電路晶粒包括板載(onboard)電路,其以使用揭露的技術形成的一或多個積體電路結構或裝置來實施,如於此各方面所說明的。術語「處理器」可指的是例如從暫存器及/或記憶體處理電子資料以將該電子資料變換成可儲存在暫存器及/或記憶體中的其它電子資料的裝置或裝置的部分。
通訊晶片1006亦可包括封裝在通訊晶片1006內的積體電路晶粒。依據一些這類範例實施例,通訊晶片之積體電路晶粒包括使用揭露的技術形成的一或多個積體電路結構或裝置,如於此各方面所說明的。如按照此揭露將理解的是,要注意的是,多個標準無線能力可被直接整合到處理器1004中(例如,其中任何晶片1006之功能特性被整合到處理器1004中,而不是具有分開的通訊晶片)。進一步要注意的是,處理器1004可為具有這類無線能力的晶片組。簡言之,能使用任何數目的處理器1004及/或通訊晶片1006。同樣的,任一晶片或晶片組能具有多個整合於其中的功能。
在各種實施中,計算系統1000可為膝上型電腦、易網機(netbook)、筆記型電腦、智慧型電話、平板、個人數位助理(PDA;personal digital assistant)、超級行動 PC(ultra-mobile PC)、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜音樂播放器、數位錄影機或是處理資料或採用使用揭露的技術形成的一或多個積體電路結構或裝置的任何其它電子裝置或系統,如於此各方面所說明的。請注意,對計算系統的參考係打算包括計算裝置、設備以及組態用於計算或處理資訊的其它結構。
進一步範例實施例
下列範例屬於進一步實施例,眾多的置換及組態自該些範例將為顯見的。
範例1為一種積體電路(IC),包括:基板;以及在該基板上方及該基板中之至少一處的電晶體。該電晶體包括:閘極;在該閘極下面的通道區;相鄰該通道區的源極和汲極(S/D)區,該S/D區包括IV族半導體材料,其中該S/D區之至少一者包括硫(S)、硒(Se)以及碲(Te)之至少一者;以及S/D接觸電連接至該S/D區之各者。
範例2包括範例1之標的,其中該基板包括IV族半導體材料。
範例3包括範例1~2之任一項的標的,更包括在該閘極與該通道區之間的閘極介電層。
範例4包括範例1~3之任一項的標的,其中通道區包括矽(Si)、鍺(Ge)、矽化鍺(SiGe)、矽鍺與碳的合金(SiGe:C)以及鍺錫(GeSn)之至少一者。
範例5包括範例1~4之任一項的標的,其中該至少一S/D區包括S、Se及Te其中僅一者。
範例6包括範例1~5之任一項的標的,其中該至少一S/D區包括S、Se及Te之至少一者,其作為以每立方公分至少1E19個原子的總濃度之摻雜劑。
範例7包括範例1~6之任一項的標的,其中該至少一S/D區包括S、Se及Te之至少一者,其作為以每立方公分5E19到5E21個原子範圍中之總濃度之摻雜劑。
範例8包括範例1~7之任一項的標的,其中該至少一S/D區更包括磷(P)、砷(As)以及硼(B)之至少一者。
範例9包括範例1~8之任一項的標的,其中該至少一S/D區包括主部分和蓋頂層部分,該蓋頂層部分在該主部分與該分別的S/D接觸之間,且其中該S、Se及Te之至少一者被包括在僅該蓋頂層部分中。
範例10包括範例9的標的,其中該主部分包括磷(P)、砷(As)以及硼(B)之至少一者。
範例11包括範例1~10之任一項的標的,其中該至少一S/D區之至少部分為n型摻雜的。
範例12包括範例1~10之任一項的標的,其中該至少一S/D區之至少部分為p型摻雜的。
範例13包括範例1~12之任一項的標的,其中該至少一S/D區之至少部分被變質地摻雜。
範例14包括範例1~13之任一項的標的,其中該通道區具有鰭狀、三閘以及鰭狀場效電晶體(FinFET)組 態之至少一者。
範例15包括範例1~13之任一項的標的,其中該通道區具有奈米線、奈米帶及環繞式閘極(GAA)組態之至少一者。
範例16包括範例1~15之任一項的標的,其中該電晶體為金屬氧化物半導體場效電晶體(MOSFET)和穿隧場效電晶體(TFET)其中一者。
範例17為一種計算系統,包括範例1~16之任一項的標的。
範例18為一種積體電路(IC),包括:基板;在該基板上方及該基板中之至少一處的電晶體。該電晶體包括:包括閘極介電層和閘極的閘極堆疊;在該閘極下面的通道區,其中閘極介電質在該通道區與該閘極之間;以及相鄰該通道區的源極和汲極(S/D)區,該S/D區包括IV族半導體材料,其中該S/D區之至少一者包括雙電荷摻雜劑;以及S/D接觸電連接至該S/D區之各者。
範例19包括範例18之標的,其中該基板包括IV族半導體材料。
範例20包括範例18~19之任一項的標的,其中通道區包括矽(Si)、鍺(Ge)、矽化鍺(SiGe)、矽鍺與碳的合金(SiGe:C)以及鍺錫(GeSn)之至少一者。
範例21包括範例18~20之任一項的標的,其中該雙電荷摻雜劑包括硫(S)、硒(Se)以及碲(Te)之至少一者。
範例22包括範例21的標的,其中該至少一S/D區包括S、Se及Te其中僅一者。
範例23包括範例18~22之任一項的標的,其中該至少一S/D區包括以每立方公分至少1E20個原子的總濃度之雙電荷摻雜劑。
範例24包括範例18~23之任一項的標的,其中該至少一S/D區包括以每立方公分5E19到5E21個原子範圍中之總濃度之雙電荷摻雜劑。
範例25包括範例18~24之任一項的標的,其中該至少一S/D區更包括單電荷摻雜劑。
範例26包括範例18~25之任一項的標的,其中該至少一S/D區包括主部分和蓋頂層部分,該蓋頂層部分在該主部分與該分別的S/D接觸之間,且其中該雙電荷摻雜劑被包括在僅該蓋頂層部分中。
範例27包括範例26的標的,其中該主部分包括磷(P)、砷(As)以及硼(B)之至少一者。
範例28包括範例18~27之任一項的標的,其中該至少一S/D區之至少部分為n型摻雜的。
範例29包括範例18~27之任一項的標的,其中該至少一S/D區之至少部分為p型摻雜的。
範例30包括範例18~29之任一項的標的,其中該至少一S/D區之至少部分被變質地摻雜。
範例31包括範例18~30之任一項的標的,其中該通道區具有鰭狀、三閘以及鰭狀場效電晶體(FinFET) 組態之至少一者。
範例32包括範例18~30之任一項的標的,其中該通道區具有奈米線、奈米帶及環繞式閘極(GAA)組態之至少一者。
範例33包括範例18~32之任一項的標的,其中該電晶體為金屬氧化物半導體場效電晶體(MOSFET)和穿隧場效電晶體(TFET)其中一者。
範例34為一種計算系統,包括範例18~33之任一項的標的。
範例35為形成積體電路(IC)的方法,該方法包括:進行源極和汲極(S/D)處理,用以形成電晶體的S/D區,該S/D處理包括將雙電荷摻雜劑混入該S/D區之至少一者中,其中該S/D區包括IV族半導體材料,且其中該雙電荷摻雜劑包括硫(S)、硒(Se)及碲(Te)之至少一者;以及在該S/D區之各者上面形成S/D接觸。
範例36包括範例35之標的,其中該雙電荷摻雜劑經由離子佈植被混入該至少一S/D區中。
範例37包括範例35之標的,其中在形成該至少一S/D區之IV族半導體材料期間,該雙電荷摻雜劑被混入該至少一S/D區中。
範例38包括範例35~37之任一項的標的,其中該至少一S/D區包括主部分和蓋頂層部分,該蓋頂層部分在該主部分與該對應的S/D接觸之間,且其中該雙電荷摻雜劑僅出現在該蓋頂層部分中。
範例39包括範例38的標的,其中該主部分包括單電荷摻雜劑。
範例40包括範例39的標的,其中該單電荷摻雜劑包括磷(P)、砷(As)以及硼(B)之至少一者。
範例41包括範例35~40之任一項的標的,其中該S/D處理包括移除在該S/D區中的材料且將該移除的材料以IV族半導體材料替換。
範例42包括範例35之標的,更包括:圖案化基板上的硬掩膜;進行淺溝槽凹陷蝕刻,用以形成至少一鰭;在給定鰭之兩側上沉積淺溝槽隔離(STI);在給定鰭上及S/D區之間形成虛設閘極堆疊,其中通道區位在至少該虛設閘極堆疊下面;以及移除該虛設閘極堆疊以形成最終閘極堆疊,該最終閘極堆疊包括閘極和該閘極與該通道區之間的閘極介電層。
範例43包括範例42之標的,更包括在已經移除該虛設閘極堆疊之後且在形成該最終閘極堆疊之前,將該通道區形成到一或多個奈米線或奈米帶中。
範例44包括範例42~43之任一項的標的,更包括在該給定的鰭之至少一側上形成垂直隔離結構,其中該垂直隔離結構形成在該STI層上。
範例45包括範例42~44之任一項的標的,其中該給定的鰭係原生於該基板。
範例46包括範例42~44之任一項的標的,其中該給定的鰭係非原生於該基板。
已為了闡述及說明的目的提出範例實施例的前述發明說明。並不打算為窮舉的或將本揭露限制到所揭示的精確形式。按照本揭露,許多修改和變異將為可能的。所打算的是,本揭露之範圍並非由此詳細的說明所限制,而相反的由附加於其的申請專利範圍所限制。對本案請求優先權的未來申請案可以不同的方式請求揭示的標的,且一般可包括如於此各方面揭露的或另以於此演示的任何組的一或多個限定。

Claims (25)

  1. 一種積體電路(IC)包含:基板;以及在該基板上面和在該基板中之至少一處的電晶體,該電晶體包括:閘極;在該閘極下面的通道區;相鄰該通道的源極和汲極(S/D)區,該S/D區包括IV族半導體材料,其中該S/D區之至少一者包括硫(S)、硒(Se)及碲(Te)之至少一者;以及電連接至該S/D區之各者的S/D接觸。
  2. 如申請專利範圍第1項的IC,其中該基板包括IV族半導體材料。
  3. 如申請專利範圍第1項的IC,更包括在該閘極與該通道區之間的閘極介電層。
  4. 如申請專利範圍第1項的IC,其中通道區包括矽(Si)、鍺(Ge)、矽化鍺(SiGe)、矽鍺與碳的合金(SiGe:C)以及鍺錫(GeSn)之至少一者。
  5. 如申請專利範圍第1項的IC,其中該至少一S/D區包括 S、Se及Te其中僅一者。
  6. 如申請專利範圍第1項的IC,其中該至少一S/D區包括S、Se及Te之至少一者,其作為以每立方公分至少1E19個原子的總濃度之摻雜劑。
  7. 如申請專利範圍第1項的IC,其中該至少一S/D區包括S、Se及Te之至少一者,其作為以每立方公分5E19到5E21個原子範圍中的總濃度之摻雜劑。
  8. 如申請專利範圍第1項的IC,其中該至少一S/D區更包括磷(P)、砷(As)以及硼(B)之至少一者。
  9. 如申請專利範圍第1項的IC,其中該至少一S/D區包括主部分和蓋頂層部分,該蓋頂層部分在該主部分與該分別的S/D接觸之間,且其中該S、Se及Te之至少一者被包括在僅該蓋頂層部分中。
  10. 如申請專利範圍第9項的IC,其中該主部分包括磷(P)、砷(As)以及硼(B)之至少一者。
  11. 如申請專利範圍第1項的IC,其中該至少一S/D區之至少部分為n型摻雜的。
  12. 如申請專利範圍第1項的IC,其中該至少一S/D區之至少部分為p型摻雜的。
  13. 如申請專利範圍第1項的IC,其中該至少一S/D區之至少部分被變質地摻雜。
  14. 如申請專利範圍第1項的IC,其中該通道區具有鰭狀、三閘以及鰭狀場效電晶體(FinFET)組態之至少一者。
  15. 如申請專利範圍第1項的IC,其中該通道區具有奈米線、奈米帶及環繞式閘極(GAA)組態之至少一者。
  16. 如申請專利範圍第1項的IC,其中該電晶體為金屬氧化物半導體場效電晶體(MOSFET)和穿隧場效電晶體(TFET)其中一者。
  17. 一種計算系統,包含申請專利範圍第1~16項任一項的IC。
  18. 一種積體電路(IC)包含:基板;在該基板上方和在該基板中之至少一處的電晶體,該電晶體包括:閘極堆疊,其包括閘極介電層和閘極; 在該閘極下面的通道,其中該閘極介電質在該通道與該閘極之間;以及相鄰該通道的源極和汲極(S/D)區,該S/D區包括IV族半導體材料,其中該S/D區之至少一者包括雙電荷摻雜劑;以及電連接至該S/D區之各者的S/D接觸。
  19. 如申請專利範圍第18項的IC,其中該雙電荷摻雜劑包括硫(S)、硒(Se)以及碲(Te)之至少一者。
  20. 如申請專利範圍第19項的IC,其中該至少一S/D區包括S、Se及Te其中僅一者。
  21. 如申請專利範圍第18項的IC,其中該至少一S/D區包括以每立方公分至少1E20個原子的濃度之該雙電荷摻雜劑。
  22. 如申請專利範圍第18~21項之任一項的IC,其中該至少一S/D區更包括單電荷摻雜劑。
  23. 一種形成積體電路(IC)的方法,該方法包含:進行源極和汲極(S/D)處理,用以形成電晶體的S/D區,該S/D處理包括將雙電荷摻雜劑混入該S/D區之至少一者中,其中該S/D區包括IV族半導體材料,且其中該雙電 荷摻雜劑包括硫(S)、硒(Se)及碲(Te)之至少一者;以及在該S/D區之各者上方形成S/D接觸。
  24. 如申請專利範圍第23項的方法,其中該雙電荷摻雜劑經由離子佈植被混入該至少一S/D區中。
  25. 如申請專利範圍第23項的方法,其中在形成該至少一S/D區之IV族半導體材料期間,該雙電荷摻雜劑被混入該至少一S/D區中。
TW106128298A 2016-09-30 2017-08-21 包括採用雙電荷摻雜劑之源極/汲極的電晶體 TWI770052B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
PCT/US2016/054709 WO2018063301A1 (en) 2016-09-30 2016-09-30 Transistors including source/drain employing double-charge dopants
??PCT/US16/54709 2016-09-30
WOPCT/US16/54709 2016-09-30

Publications (2)

Publication Number Publication Date
TW201834246A true TW201834246A (zh) 2018-09-16
TWI770052B TWI770052B (zh) 2022-07-11

Family

ID=61760810

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106128298A TWI770052B (zh) 2016-09-30 2017-08-21 包括採用雙電荷摻雜劑之源極/汲極的電晶體

Country Status (3)

Country Link
US (1) US11757004B2 (zh)
TW (1) TWI770052B (zh)
WO (1) WO2018063301A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11757004B2 (en) 2016-09-30 2023-09-12 Intel Corporation Transistors including source/drain employing double-charge dopants
US11990524B2 (en) * 2021-08-30 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and forming method thereof
US20230187441A1 (en) * 2021-12-10 2023-06-15 Intel Corporation Integrated circuit structures with trench contact flyover structure
US20230197713A1 (en) * 2021-12-17 2023-06-22 Intel Corporation Gate-all-around integrated circuit structures having raised wall structures for epitaxial source or drain region confinement
US20230207560A1 (en) * 2021-12-23 2023-06-29 Intel Corporation Transistors with doped intrinsic germanium caps on source drain regions for improved contact resistance

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5091763A (en) * 1990-12-19 1992-02-25 Intel Corporation Self-aligned overlap MOSFET and method of fabrication
TW360980B (en) * 1994-05-04 1999-06-11 Nippon Precision Circuits Single transistor EEPROM memory device
US5773863A (en) * 1994-08-18 1998-06-30 Sun Microsystems, Inc. Low power, high performance junction transistor
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
DE102004004555A1 (de) * 2004-01-29 2005-08-18 Siltronic Ag Verfahren zur Herstellung von hoch dotierten Halbleiterscheiben und versetzungsfreie, hoch dotierte Halbleiterscheiben
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7943469B2 (en) * 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
JP2009105195A (ja) * 2007-10-23 2009-05-14 Elpida Memory Inc 半導体装置の構造および製造方法
US9761666B2 (en) * 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US9660049B2 (en) * 2011-11-03 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor transistor device with dopant profile
KR101560112B1 (ko) 2011-12-20 2015-10-13 인텔 코포레이션 콘택 저항의 감소를 위한 자가-정렬된 콘택 금속화
US8652891B1 (en) * 2012-07-25 2014-02-18 The Institute of Microelectronics Chinese Academy of Science Semiconductor device and method of manufacturing the same
US20140065799A1 (en) * 2012-09-03 2014-03-06 Intermolecular, Inc. Methods and Systems for Low Resistance Contact Formation
US9105662B1 (en) * 2014-01-23 2015-08-11 International Business Machines Corporation Method and structure to enhance gate induced strain effect in multigate device
US9406697B1 (en) 2015-01-20 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and manufacturing methods thereof
US9577102B1 (en) * 2015-09-25 2017-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming gate and finFET
US20170110541A1 (en) * 2015-10-15 2017-04-20 Qualcomm Incorporated Nanowire channel structures of continuously stacked heterogeneous nanowires for complementary metal oxide semiconductor (cmos) devices
US11757004B2 (en) 2016-09-30 2023-09-12 Intel Corporation Transistors including source/drain employing double-charge dopants

Also Published As

Publication number Publication date
US20190189755A1 (en) 2019-06-20
WO2018063301A1 (en) 2018-04-05
US11757004B2 (en) 2023-09-12
TWI770052B (zh) 2022-07-11

Similar Documents

Publication Publication Date Title
US11444166B2 (en) Backside source/drain replacement for semiconductor devices with metallization on both sides
KR102603279B1 (ko) 양쪽 사이드들 상의 금속화가 있는 반도체 디바이스들에 대한 후면 콘택트 저항 감소
TWI748994B (zh) 用以降低電容及電阻之電晶體閘極溝槽工程
TWI723019B (zh) 在具有不同通道材料的相同晶粒上形成電晶體之技術
CN110808246A (zh) 用于全环栅晶体管器件的子鳍隔离方案
CN110660849A (zh) 用于纳米线晶体管的空腔间隔物
TWI770052B (zh) 包括採用雙電荷摻雜劑之源極/汲極的電晶體
TWI577015B (zh) 半導體裝置及製造半導體裝置的方法
TW201834184A (zh) 用於iv族源極/汲極區域的局部互連
TWI781952B (zh) 積體電路及形成其之方法和互補金氧半導體裝置
TW201924054A (zh) 用於鍺nmos電晶體的源極/汲極擴散障壁
TW201735241A (zh) 用於使用犧牲第iv族材料層形成包括第iii-v族材料奈米線的電晶體之技術
TW201814901A (zh) 具晶格匹配閘極結構之電晶體
TWI784035B (zh) 用於應變電晶體的磊晶氧化物插塞及形成積體電路結構的方法
TW201828476A (zh) 包括利用接觸電阻減少層的源極/汲極區之穿隧電晶體
TWI761363B (zh) 積體電路、cmos裝置、電腦系統及形成積體電路的方法
TW201824553A (zh) 包含經接觸溝渠處理之源極/汲極區域的穿隧電晶體
CN111033753A (zh) 针对锗nmos晶体管的用以减少源极/漏极扩散的经掺杂的sti
US20230197815A1 (en) Wrap-around contacts for stacked transistors
TW201824554A (zh) 包括使用碳基蝕刻停止層之源極/汲極區的穿隧式電晶體
CN116259655A (zh) 具有降低的电阻的环绕式接触部
WO2019117946A1 (en) Reducing off-state leakage in semiconductor devices
WO2018125035A1 (en) Transistors including final source/drain material processed after replacement gate processing