TW201719835A - 具有埋入電源軌的半導體裝置及製造其的方法 - Google Patents

具有埋入電源軌的半導體裝置及製造其的方法 Download PDF

Info

Publication number
TW201719835A
TW201719835A TW105129798A TW105129798A TW201719835A TW 201719835 A TW201719835 A TW 201719835A TW 105129798 A TW105129798 A TW 105129798A TW 105129798 A TW105129798 A TW 105129798A TW 201719835 A TW201719835 A TW 201719835A
Authority
TW
Taiwan
Prior art keywords
power rail
substrate
semiconductor device
layer
active layer
Prior art date
Application number
TW105129798A
Other languages
English (en)
Other versions
TWI695470B (zh
Inventor
雷維基 森古普塔
洪俊九
馬克 S. 羅德爾
Original Assignee
三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 三星電子股份有限公司 filed Critical 三星電子股份有限公司
Publication of TW201719835A publication Critical patent/TW201719835A/zh
Application granted granted Critical
Publication of TWI695470B publication Critical patent/TWI695470B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66272Silicon vertical transistors
    • H01L29/66295Silicon vertical transistors with main current going through the whole silicon substrate, e.g. power bipolar transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4885Wire-like parts or pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0266Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using field effect transistors as protective elements
    • H01L27/0285Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using field effect transistors as protective elements bias arrangements for gate electrode of field effect transistors, e.g. RC networks, voltage partitioning circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/783Field effect transistors with field effect produced by an insulated gate comprising a gate to body connection, i.e. bulk dynamic threshold voltage MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供一種半導體裝置及製造其的方法。所述半導體裝置包含:基板;電源軌,其在所述基板上;作用層,其在所述基板上且與所述電源軌處於相同層;以及接觸件,其將所述電源軌電連接至所述作用層。所述作用層包含源極/汲極端子。在所述基板與所述電源軌之間與在所述基板與所述作用層之間存在相同數目個層。所述製造半導體裝置的方法包括在基板上形成作用層;在所述基板上形成多個接觸件,所述接觸件電連接至所述作用層;以及在所述基板上形成電源軌,所述電源軌藉由所述接觸件電耦接至所述作用層,且所述電源軌與所述接觸件處於相同位準。

Description

具有埋入電源軌的半導體裝置及製造其的方法
本發明的例示性實施例的態樣是關於一種具有埋入電源軌的半導體裝置及其製造方法。
在形成包含多個半導體裝置(諸如積體電路)的裝置時,標準單元可用作用於設計以及製造積體電路的基本單位。標準單元可用以形成一或多個功能電路,且每一標準單元可具有相同佔據面積(例如,可具有標準佔據面積)。在設計複雜電路以及組件時使用標準單元降低設計以及製造成本。
在使用中,裝置的每一標準單元需要電力輸入(Vdd)以及接地(Vss)連接。為了對裝置的各種組件供電,每一標準單元通常耦接至電源軌,電源軌電連接至標準單元的作用層以提供電力(Vdd)。在一些情況下,可為每一標準單元提供多個電源軌以分別提供電力(Vdd)以及接地(Vss)。
另外,為了向每一電源軌提供電力,晶片級電力柵格包含於裝置中。晶片級電力柵格可延伸穿過各種標準單元或在各種標準單元之間延伸,且沿著電源軌的長度在各種點處電連接至電源軌。
參看圖1,標準單元1包含電源軌10、接觸件30(例如,接觸層)以及通路20(例如,分接頭)。通路20在電源軌10與接觸件30之間延伸且將電源軌10連接至接觸件30,藉此向標準單元提供電力。
標準單元1進一步包含作用層40(例如,鰭片或奈米薄片)(包含源極/汲極端子(例如,源極/汲極電極)),以及閘極電極50。儘管未繪示,但作用層40藉由閘極絕緣層與閘極電極分離。如在圖1中可見,電源軌10配置於接觸件30上方以及作用層40上方。
大體而言,標準單元經設計具有含有各種金屬層(例如,稱為M1至Mx)的堆疊結構。最低金屬層常常稱為M1,且為有時稱為M0的互連層(例如,金屬互連層)上方的第一金屬層。
金屬層M1至Mx各自包含佈線軌道,且各種互連件12、13形成於佈線軌道中以將標準單元的各種組件彼此連接以及連接至其他標準單元。大體而言,包含多個標準單元的設計可包含多至約八個金屬層M1至M8。然而,半導體裝置可製造為具有更多或更少金屬層。
在圖1中,標準單元1的電源軌10配置於第一金屬層M1中、在互連層M0上方,互連層中配置有作用層40以及閘極電極50。互連層M0可為基板上的第一金屬層,且藉由絕緣層(例如,電絕緣層)與第一金屬層M1電絕緣。
歸因於電源軌10配置於不同於作用層40的層中,因此包含通路20。通路20延伸穿過第一金屬層M1與互連層M0之間的絕緣層,且將電源軌10電連接至接觸件30,且因此電連接至作用層40。
圖5為圖1中所說明的標準單元1的示意性側視圖。在圖5中,互連層M0由1000指示,且為接觸件30以及作用層40配置之處。第一金屬層M1由1010指示,且為電源軌10以及佈線軌道90配置之處。如可見,電源軌10在標準單元1中與佈線軌道90佔用相同位準,因此減小可供用於標準單元1中的佈線軌道90的空間。
此外,因為電源軌10包含於金屬層M1至Mx中的一者中,所以電源軌10佔用可另外用於佈線軌道90以及各種互連件的空間。此外,電源軌10藉由通路20連接至作用層40,且在設計包含標準單元1的半導體裝置時必須考慮通路20上的電位降(IR drop)。
本發明針對包含埋入電源軌的半導體裝置的各種實施例。在一個實施例中,所述半導體裝置包含多個金屬層(例如,金屬佈線層),所述金屬層配置於互連層上方,作用層以及電源軌配置於所述互連層上。
根據一個實施例,一種半導體裝置包含:基板;電源軌,其在所述基板上;作用層,其在所述基板上且與所述電源軌處於相同層;以及接觸件,其將所述電源軌電連接至所述作用層。所述作用層包含源極/汲極端子。
所述半導體裝置可進一步包含閘極電極,所述閘極電極與所述電源軌處於相同層。
相比所述接觸件的底部表面,所述電源軌的底部表面可在所述基板上方更遠處。
所述電源軌的上部表面可在所述接觸件的上部表面上方。
所述半導體裝置可進一步包含多個金屬層,且所述金屬層中的每一者可包含多個互連件。所述電源軌可配置於多個所述金屬層下方。
最接近所述基板的所述金屬層中的所述互連件中的一者可在垂直於所述基板的上部表面的方向中在所述電源軌上方。
所述金屬層中的每一者中的所述互連件中的至少一者可在平行於所述基板的上部表面的方向中於所述電源軌上方延伸。
所述接觸件與所述電源軌一體成型。
所述電源軌的底部表面與所述接觸件的底部表面按相同距離在所述基板上方。
所述電源軌可包含低電阻金屬。
根據另一實施例,一種半導體裝置包含:基板;電源軌,其在所述基板上;作用層,其在所述基板上且包含源極/汲極端子,在所述基板與所述電源軌之間與在所述基板與所述作用層之間存在相同數目個層;以及接觸件,其將所述電源軌電連接至所述作用層。
所述半導體裝置可進一步包含多個閘極電極,所述閘極電極與所述電源軌處於相同層。
相比所述接觸件的底部表面,所述電源軌的底部表面可離所述基板更遠。
所述半導體裝置可進一步包含在所述電源軌上方的多個金屬層,且所述金屬層中的每一者可包含多個互連件。
所述電源軌上方的所述金屬層中的第一金屬層中的所述互連件中的至少一者可在垂直於所述基板的上部表面的方向中於所述電源軌上方延伸。
所述金屬層中的每一者中的至少一個互連件可在垂直於所述基板的上部表面的方向中於所述電源軌上方延伸。
垂直於所述基板的上部表面延伸的虛線可延伸穿過所述電源軌以及所述電源軌上方的所述金屬層中的第一金屬層中的至少一個互連件。
本發明亦針對製造半導體裝置的方法的各種實施例。在一個實施例中,一種製造半導體裝置的方法包含:在基板上形成作用層;在所述基板上形成多個接觸件;以及在所述基板上形成電源軌,所述電源軌與所述接觸件處於相同位準。所述接觸件電連接至所述作用層,且所述電源軌藉由所述接觸件電耦接至所述作用層。
所述方法可進一步包含在所述作用層上形成絕緣層;在所述絕緣層中形成第一溝槽以界定第一區域;以及在所述絕緣層中形成第二溝槽以界定第二區域。所述形成所述電源軌以及形成所述接觸件可包含用導電材料填充所述絕緣層中的所述第一區域以及第二區域兩者。
所述方法可進一步包含圖案化所述基板以在單元分離邊界處形成溝槽,且所述形成所述電源軌可包含在所述單元分離邊界處的所述溝槽上方形成所述電源軌。
提供此[發明內容]以引入本發明的例示性實施例的一系列特徵以及概念,所述特徵以及概念將下文在詳細描述中進一步描述。此[發明內容]並不意欲識別所主張標的物之關鍵或基本特徵,亦不意欲用於限制所主張標的物之範疇。所描述特徵中的一或多者可與一或多個其他所描述特徵組合以提供可工作裝置。
本發明針對包含埋入電源軌的半導體裝置的各種例示性實施例。在一個實施例中,一種半導體裝置包含電源軌,所述電源軌與半導體裝置的作用層處於相同層或實質上處於相同層(諸如在互連層中),且在其金屬層M1至Mx(例如,金屬佈線層)下方。
因此,可製造所述半導體裝置而不包含將電源軌連接至作用層的通路,因此減小由通路產生的電位降。在一個實施例中,在金屬層M1至Mx中可用的用於互連件的佈線軌道的數目增大,因此減小置放與佈線(place and route;PnR)擁擠。此外,可減小單元高度。在其他實施例中,可維持單元高度,但可加寬佈線軌道,因此減小互連件中的佈線電阻以及電容,以及改良設計效能。
下文中,將參考附圖更詳細地描述實例實施例,在附圖中,相同參考數字始終指相同元件。然而,本發明可以各種不同形式體現,且不應解釋為僅限於本文中的所說明實施例。確切而言,提供此等實施例作為實例,使得本發明將為透徹且完整的,且將向熟習此項技術者充分傳達本發明的態樣以及特徵。因此,可不描述對於彼等一般熟習此項技術者完整理解本發明的態樣以及特徵而言並非必需的程序、元件以及技術。除非另外指出,否則相同參考數字貫穿附圖以及書面描述指相同元件,且因此,可不重複其描述。
在圖中,可為了清晰起見,放大及/或簡化元件、層以及區域的相對大小。空間相關術語,諸如「底下」、「下方」、「下部」、「下面」、「上方」、「上部」以及其類似者可為了易於解釋而在本文中使用以描述一個元件或特徵與另一元件或特徵的關係,如圖式中所說明。應理解,空間相關術語意欲涵蓋除圖式中所描繪的定向之外的在使用中或在操作中的裝置的不同定向。舉例而言,若圖式中的裝置翻轉,則描述為「在」其他元件或特徵「下方」或「以下」或「下面」的元件將接著定向為「在」其他元件或特徵「上方」。因此,實例術語「下方」以及「下面」可涵蓋上方以及下方的定向兩者。裝置可以其他方式定向(例如,旋轉90度或處於其他定向),且本文中所使用的空間相關描述詞應相應地進行解釋。
應理解,雖然本文中可使用術語「第一」、「第二」、「第三」等來描述各種元件、組件、區域、層及/或區段,但此等元件、組件、區域、層及/或區段不應受此等術語限制。此等術語用以區別一個元件、組件、區域、層或區段與另一元件、組件、區域、層或區段。因此,在不脫離本發明的精神以及範疇的情況下,下文所論述的第一元件、組件、區域、層或區段可被稱為第二元件、組件、區域、層或區段。
應理解,當元件或層被稱作「在另一元件或層上」、「連接至另一元件或層」或「耦接至另一元件或層」時,元件或層可直接在另一元件或層上、直接連接至另一元件或層或耦接至另一元件或層,或可能存在一或多個介入元件或層。另外,亦將理解當元件或層被稱作「在兩個元件或層之間」時,元件或層可為兩個元件或層之間的唯一元件或層,或亦可存在一或多個介入元件或層。
本文中所使用的術語用於描述特定實施例的目的,且不意欲為本發明的限制。如本文所使用,除非上下文另外清晰地指示,否則單數形式「一」意欲亦包含複數形式。應進一步理解,術語「包括」以及「包含」在用於本說明書中時指定所陳述特徵、整體、步驟、操作、元件及/或組件的存在,但不排除一或多個其他特徵、整體、步驟、操作、元件、組件及/或其群組的存在或添加。如本文所使用,術語「及/或」包含相關聯所列項目中的一或多者的任何以及所有組合。當在元件清單之前時,諸如「……中的至少一者」的表達修飾元件的整個清單,且不修飾清單中的個別元件。
如本文中所使用,術語「實質上」、「約」以及類似術語用作表示近似的術語且並不用作表示程度的術語,且意欲考慮將由一般熟習此項技術者辨識的量測值或計算值的固有偏差。另外,「可」在描述本發明的實施例時的使用指「本發明的一或多個實施例」。如本文中所使用,術語「使用」可分別被視為與術語「利用」同義。此外,術語「例示性」意欲指實例或說明。
除非另外定義,否則本文所使用的所有術語(包含技術以及科學術語)具有與本發明所屬領域的一般技術者通常理解的相同意義。應進一步理解,術語(諸如,常用詞典中所定義的彼等術語)應被解釋為具有與其在相關技術及/或本發明的上下文中的含義一致的含義,且不應以理想化或過分正式意義進行來解釋,除非本文中明確地如此定義。
圖2為根據本發明的一實施例的標準單元2的一部分的透視圖。標準單元2包含電源軌100、接觸件300(例如,接觸層)、作用層400(例如,鰭片或奈米薄片)(包含源極/汲極端子(例如,源極/汲極電極)),以及閘極電極50。儘管未繪示,但閘極電極50藉由閘極絕緣層與作用層400分離。標準單元2可為例如反相器。
在標準單元2中,電源軌100、接觸件300、作用層400以及閘極電極50各自處於互連層M0(例如,金屬互連層)。互連層M0在金屬層M1至Mx(例如,金屬佈線層)下方,但在裝置連接層上方。單元級(cell level)電力柵格可在上部金屬層中,且經由標準通路互連件,電源軌100可沿著其長度按規則間隔(例如,每10至20微米)在各種點處電連接至單元級電力柵格。在圖2中,各種互連件12、13經說明在電源軌100、接觸件300、作用層400以及閘極電極50上方,且互連件12、13處於金屬層M1。
在標準單元2中,電源軌100鄰近於(例如,直接鄰近於)接觸件300,而非如圖1中所說明地配置於金屬層M1中。舉例而言,電源軌100在平行於基板的上部表面的方向中鄰近於接觸件300,此等組件配置在基板上。藉由在互連層M0處將電源軌100配置為鄰近於接觸件300,先前由電源軌10佔用的金屬層M1中的區域(參見圖1)現未佔用。因此,諸如電源軌100上方的金屬層M1至Mx的上部金屬層中的區域可用於佈線軌道,且因此用於信號互連(例如,信號選路)。
電源軌100與閘極電極50間隔(例如,隔開)一定距離自以減小或防止其間的短路。舉例而言,電源軌100經配置以在平行於基板的上部表面的方向中與閘極電極50間隔,以防止短路在電源軌100與閘極電極50之間發生。
電源軌100可直接電連接至接觸件300。亦即,電源軌100直接連接至接觸件300,而非當電源軌10在圖1中所說明的標準單元1中時,經由通路連接至接觸件300。換言之,電源軌100直接電連接至接觸件300,而非電源軌10經由通路20間接電連接至接觸件30(參見圖1)。因而,在電源軌100與接觸件300之間比在通路20與接觸件30(參見圖1)之間存在更大接觸區域。因此,可減小電源軌100與接觸件300之間的電位降,且歸因於電源軌100與接觸件300之間的較低寄生電阻可達成改良的直流優質(DC FOM)(例如,較高Ieff)及/或交流優質(AC FOM)。然而,本發明不限於此,且中間組件可存在於電源軌100與接觸件300之間且可將電源軌100電連接至接觸件300。
此外,電源軌100沿著接觸件300的整個或實質上整個長度直接電連接至接觸件300,因此進一步減小電源軌100與接觸件300之間連接處的任何電位降。舉例而言,電源軌100具有與接觸件300相對大的接觸區域,而非受限於通路(例如,圖1中所說明的通路20),因此當與利用通路的連接相比時,減小電源軌與接觸件之間的連接處的電位降。
藉由將電源軌100配置於互連層M0中,電源軌100的大小相較於電源軌10(參見圖1)可增大,因為電源軌100不限於金屬層M1中的佈線軌道的大小。舉例而言,電源軌100的高度可與接觸件300相同或基本上相同。在另一實施例中,如圖4中所繪示,電源軌120的高度可大於接觸件300。此外,電源軌100的寬度相較於電源軌10(參見圖1)可減小,同時相較於電源軌10含有相同量或更大量的導電材料。藉由增大電源軌100的大小且因此增大電源軌的導電材料的量,電源軌100的電阻小於電源軌10的電阻,從而相較於標準單元1減小電位降。此外,即使當電源軌100的寬度相較於電源軌10減小時,電源軌100的總體大小亦大於電源軌10的大小,因此確保電位降減小。
圖3為根據本發明的另一實施例的標準單元3的一部分的透視圖。標準單元3包含電源軌110、接觸件300、包含源極/汲極端子的作用層400,以及閘極電極50。參看圖3,電源軌110以及接觸件300配置在與作用層400以及閘極電極50的位準相同的位準。可獨立地(例如,獨立於作用層400)在基板上方修改電源軌110的高度及/或深度,以滿足各種所要電位降目標值。舉例而言,如圖4中所繪示,電源軌120的上部表面以及下部表面可延伸超出接觸件300的上部表面以及下部表面。然而,即使當電源軌110的高度略微地不同於作用層400以及閘極電極50時,電源軌110仍處於互連層M0中且在金屬層M1下方。舉例而言,電源軌110的上部表面在金屬層M1下方,使得上部金屬層M1至Mx中的電源軌110上方的區域可用於佈線軌道。
圖6為根據本發明的一實施例的標準單元的態樣的示意性側視圖。在圖6中,佈線軌道90處於由1010指示的金屬層M1,且電源軌100、接觸件300以及作用層400處於由1000指示的互連層M0。如在圖中6可見,因為電源軌100配置於互連層M0中而非配置於金屬層M1中(參見圖5中的10),所以在不減小佈線軌道90的數目的情況下,標準單元的總體大小或佔據面積減小。
圖7為根據本發明的另一實施例的標準單元的態樣的示意性側視圖。類似於圖6,佈線軌道91處於由1010指示的金屬層M1,且電源軌100、接觸件300以及作用層400處於由1000指示的互連層M0。在此實施例中,不同於圖6的實施例,所述標準單元的總體大小或佔據面積與圖6中所說明的標準單元1相比並不減小或實質上減小,但佈線軌道91中的每一者的大小增大。舉例而言,佈線軌道91中的每一者大於圖5以及圖6中所說明的佈線軌道90。因而,形成於佈線軌道91中的互連件可大於形成於佈線軌道90中的互連件,藉此具有減小的電阻且使標準單元的效能增大。
在又一實施例中,可包含額外佈線軌道90,同時停留在標準單元的佔據面積內。舉例而言,專用於圖5中的電源軌10的空間可取而代之為在不增大標準單元的佔據面積的情況下配置額外佈線軌道90(參見圖6)之處。
在另一實施例中,佈線軌道90可彼此相隔更遠而不增大標準單元的佔據面積。舉例而言,佈線軌道90(參見圖6)可相隔更遠而不增大標準單元的佔據面積(例如,佈線軌道間距可增大),而非增大佈線軌道(參見圖7中的佈線軌道91)的大小。在此實施例中,佈線軌道90之間的增大間距提供減小的佈線電容,佈線電容由存在於佈線軌道90中的鄰近者之間的絕緣材料產生。
另外,本發明不限於上文所描述的實施例,且在一個實施例中可將各種實施例的態樣組合在一起。舉例而言,在一個實施例中,佈線軌道中的一些可具有增大的大小(參見圖7中的佈線軌道91),而佈線軌道中的其他者相隔更遠。在另一實施例中,一個金屬層上的佈線軌道可大於另一金屬層上的佈線軌道,或一個金屬層可包含較大佈線軌道,而另一金屬層比所述一個金屬層包含更多佈線軌道。
圖8至圖26說明根據本發明的一實施例的製造標準單元的方法。參看圖14,例如藉由使用圖案罩幕來圖案化基板600,以形成在單元分離邊界處所形成的多個溝槽(例如,凹槽)。形成於單元分離邊界處的溝槽可按重複圖案沿著基板600配置,以界定將形成標準單元的區域。
參看圖15,可用導電材料601(例如,電絕緣材料)填充形成於單元分離邊界處的溝槽。導電材料601可為金屬,例如,鎢(W)、銅(Cu)或鈷(Co)。然而,導電材料601不限於此。另外,在形成於單元分離邊界處的溝槽之間的基板600的上部表面上形成作用磊晶層602。然而,本發明不限於此,且作用磊晶層602可實質上形成於基板600的整個上部表面上方。接著,可移除作用磊晶層602的在形成於單元分離邊界處的溝槽處的部分。此外,可在基板600上形成絕緣層(諸如氧化物層)及/或矽層,之後在基板600上形成作用磊晶層602。
參看圖16,藉由例如使用圖案罩幕來圖案化或蝕刻作用磊晶層602。舉例而言,可圖案化作用磊晶層602,使得移除所述作用磊晶層的部分,從而暴露基板600的上部表面,或在其他實施例中,暴露下伏絕緣層。作用磊晶層602的剩餘部分可不同地形成源極電極以及汲極電極。
參看圖17,於作用磊晶層602的剩餘部分之間形成作用層400(例如,鰭片或奈米薄片)。儘管作用層400說明為鰭式FET,但本發明不限於此。舉例而言,本發明的態樣可應用於奈米薄片場效電晶體(field effect transistor;FET),諸如水平奈米薄片FET。
參看圖18,於基板600上方以及作用磊晶層602以及作用層400上方形成第一絕緣層603(例如,第一氧化物層)。接著,參看圖19,藉由例如使用圖案罩幕來圖案化或蝕刻第一絕緣層603。舉例而言,圖案化或蝕刻第一絕緣層603在作用層400上方的部分,藉此經由第一絕緣層603暴露作用層400。
參看圖20,於第一絕緣層603中的開口中形成作用閘極電極50以及虛設閘極電極50'。閘極電極50以及50'可由金屬製成。圖8繪示圖20的閘極電極50以及50'以及作用層400的俯視平面視圖,且圖9繪示圖20的閘極電極50以及閘極電極50'以及作用層400的透視圖。為了清晰起見,在圖8至圖13中未說明作用磊晶層602。
參看圖21,於第一絕緣層603以及閘極電極50以及閘極電極50'上方形成第二絕緣層605(例如,第二氧化物層)。接著參看圖22,藉由例如使用圖案罩幕來圖案化或蝕刻第一絕緣層603以及第二絕緣層605,以移除所述絕緣層在閘極電極50以及閘極電極50'的鄰近者之間的部分。第一絕緣層603以及第二絕緣層605的經圖案化區域(例如,其中的開口)形成局部互連線。接著,使用切割罩幕來修改局部互連線長度。
參看圖23以及圖24,用犧牲材料500填充(例如,部分地填充)局部互連線。犧牲材料可為例如碳(C)或矽-有機物混合型(silicon-organic-hybrid;SOH)材料。圖10繪示圖23以及圖24的閘極電極50以及閘極電極50'、作用層400以及犧牲材料500的俯視平面視圖,且圖11繪示圖23以及圖24的閘極電極50以及閘極電極50'、作用層400以及犧牲材料500的透視圖。
接著,參看圖25,在局部互連線處移除犧牲材料500。參看圖26,於局部互連線中填充諸如金屬的材料。金屬可為低電阻觸頭金屬,諸如鎢(W)或鈷(Co)。金屬材料形成電源軌110以及接觸件300。如可見,電源軌110與接觸件300可一體成型。然而,本發明不限於此,且電源軌110可與接觸件300分開地形成且可為與接觸件不同的材料。圖12繪示圖26的閘極電極50以及閘極電極50'、作用層400、電源軌110以及接觸件300的俯視平面視圖,且圖13繪示圖26的閘極電極50以及閘極電極50'、作用層400、電源軌110以及接觸件300的透視圖。
圖8至圖26說明製造半導體裝置的方法,其中電源軌略微地在源極/汲極電極上方,諸如圖3中所說明的實施例。然而,製造方法不限於此,且可修改上述方法使得電源軌實質上與源極/汲極電極齊平,諸如圖2中所說明的實施例。
儘管已參考實例實施例描述本發明,但熟習此項技術者將認識到,可在完全不脫離本發明的精神以及範疇的情況下執行對所描述實施例的各種改變以及修改。另外,熟習各項技術者將認識到,本文中所描述的本發明將建議其他任務的解決方案以及對其他應用的調適。申請人的目的為,在完全不脫離本發明的精神以及範疇的情況下,藉由本文中的申請專利範圍涵蓋本發明的所有此等用途,以及出於揭露的目的可對在本文中所選擇的本發明的實例實施例作出的彼等改變以及修改。因此,本發明的實例實施例應在所有方面被視為說明性以及非限定性的,其中本發明的精神以及範疇由所附申請專利範圍以及其等效物指示。
1、2、3‧‧‧標準單元
10、100、110、120‧‧‧電源軌
12、13‧‧‧互連件
20‧‧‧通路
30、300‧‧‧接觸件
40、400‧‧‧作用層
50、50'‧‧‧閘極電極
90、91‧‧‧佈線軌道
500‧‧‧犧牲材料
600‧‧‧基板
601‧‧‧導電材料
602‧‧‧作用磊晶層
603‧‧‧第一絕緣層
605‧‧‧第二絕緣層
1000‧‧‧互連層M0
1010‧‧‧金屬層M1
圖1為標準單元的一部分的透視圖。 圖2為根據本發明的一實施例的標準單元的一部分的透視圖。 圖3為根據本發明的另一實施例的標準單元的一部分的透視圖。 圖4為根據本發明的另一實施例的標準單元的一部分的透視圖。 圖5為圖1中所說明的標準單元的態樣的示意性側視圖。 圖6為根據本發明的一實施例的標準單元的態樣的示意性側視圖。 圖7為根據本發明的另一實施例的標準單元的態樣的示意性側視圖。 圖8至圖26說明根據本發明的一實施例的製造標準單元的方法。
3‧‧‧標準單元
12、13‧‧‧互連件
50‧‧‧閘極電極
110‧‧‧電源軌
300‧‧‧接觸件
400‧‧‧作用層

Claims (20)

  1. 一種半導體裝置,其包括: 基板; 電源軌,其在所述基板上; 作用層,其在所述基板上且與所述電源軌處於相同層,所述作用層包括源極/汲極端子;以及 接觸件,其將所述電源軌電連接至所述作用層。
  2. 如申請專利範圍第1項所述的半導體裝置,其進一步包括閘極電極,所述閘極電極與所述電源軌處於相同層。
  3. 如申請專利範圍第2項所述的半導體裝置,其中相比所述接觸件的底部表面,所述電源軌的底部表面在所述基板上方更遠處。
  4. 如申請專利範圍第3項所述的半導體裝置,其中所述電源軌的上部表面在所述接觸件的上部表面上方。
  5. 如申請專利範圍第1項所述的半導體裝置,其進一步包括多個金屬層,所述金屬層中的每一者包括多個互連件, 其中所述電源軌配置於多個所述金屬層下方。
  6. 如申請專利範圍第5項所述的半導體裝置,其中最接近所述基板的所述金屬層中的所述互連件中的一者在垂直於所述基板的上部表面的方向中在所述電源軌上方。
  7. 如申請專利範圍第5項所述的半導體裝置,其中所述金屬層中的每一者中的所述互連件中的至少一者在平行於所述基板的上部表面的方向中於所述電源軌上方延伸。
  8. 如申請專利範圍第1項所述的半導體裝置,其中所述接觸件與所述電源軌一體成型。
  9. 如申請專利範圍第1項所述的半導體裝置,其中所述電源軌的底部表面與所述接觸件的底部表面按相同距離處於所述基板上方。
  10. 如申請專利範圍第9項所述的半導體裝置,其中所述電源軌包括低電阻金屬。
  11. 一種半導體裝置,其包括: 基板; 電源軌,其在所述基板上; 作用層,其在所述基板上且包括源極/汲極端子,在所述基板與所述電源軌之間與在所述基板與所述作用層之間存在相同數目個層;以及 接觸件,其將所述電源軌電連接至所述作用層。
  12. 如申請專利範圍第11項所述的半導體裝置,其進一步包括多個閘極電極,所述閘極電極與所述電源軌處於相同層。
  13. 如申請專利範圍第12項所述的半導體裝置,其中相比所述接觸件的底部表面,所述電源軌的底部表面離所述基板更遠。
  14. 如申請專利範圍第11項所述的半導體裝置,其進一步包括在所述電源軌上方的多個金屬層,所述金屬層中的每一者包括多個互連件。
  15. 如申請專利範圍第14項所述的半導體裝置,其中所述電源軌上方的所述金屬層中的第一金屬層中的所述互連件中的至少一者在垂直於所述基板的上部表面的方向中於所述電源軌上方延伸。
  16. 如申請專利範圍第14項所述的半導體裝置,其中所述金屬層中的每一者中的至少一個互連件在垂直於所述基板的上部表面的方向中於所述電源軌上方延伸。
  17. 如申請專利範圍第14項所述的半導體裝置,其中垂直於所述基板的上部表面延伸的虛線延伸穿過所述電源軌以及所述電源軌上方的所述金屬層中的第一金屬層中的至少一個互連件。
  18. 一種製造半導體裝置的方法,所述製造半導體裝置的方法包括: 在基板上形成作用層; 在所述基板上形成多個接觸件,所述接觸件電連接至所述作用層;以及 在所述基板上形成電源軌,所述電源軌藉由所述接觸件電耦接至所述作用層,且所述電源軌與所述接觸件處於相同位準。
  19. 如申請專利範圍第18項所述的製造半導體裝置的方法,其進一步包括在所述作用層上形成絕緣層; 在所述絕緣層中形成第一溝槽以界定第一區域;以及 在所述絕緣層中形成第二溝槽以界定第二區域, 其中形成所述電源軌以及形成所述接觸件包括用導電材料填充所述絕緣層中的所述第一區域以及第二區域兩者。
  20. 如申請專利範圍第19項所述的製造半導體裝置的方法,其進一步包括圖案化所述基板以在單元分離邊界處形成溝槽,且 其中形成所述電源軌包括在所述單元分離邊界處的所述溝槽上方形成所述電源軌。
TW105129798A 2015-11-17 2016-09-13 具有埋入電源軌的半導體裝置及製造其的方法 TWI695470B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562256590P 2015-11-17 2015-11-17
US62/256,590 2015-11-17
US15/158,500 2016-05-18
US15/158,500 US9570395B1 (en) 2015-11-17 2016-05-18 Semiconductor device having buried power rail

Publications (2)

Publication Number Publication Date
TW201719835A true TW201719835A (zh) 2017-06-01
TWI695470B TWI695470B (zh) 2020-06-01

Family

ID=57964984

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105129798A TWI695470B (zh) 2015-11-17 2016-09-13 具有埋入電源軌的半導體裝置及製造其的方法

Country Status (4)

Country Link
US (1) US9570395B1 (zh)
KR (1) KR102409525B1 (zh)
CN (1) CN106711120B (zh)
TW (1) TWI695470B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI715266B (zh) * 2018-10-26 2021-01-01 台灣積體電路製造股份有限公司 積體電路結構的形成方法及積體電路結構
TWI734919B (zh) * 2017-06-22 2021-08-01 日商東京威力科創股份有限公司 埋藏式電力軌
TWI750997B (zh) * 2020-04-01 2021-12-21 台灣積體電路製造股份有限公司 半導體結構及其形成方法

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10347546B2 (en) * 2016-12-23 2019-07-09 Globalfoundries Inc. Integrated circuit structure including power rail and tapping wire with method of forming same
US10784198B2 (en) 2017-03-20 2020-09-22 Samsung Electronics Co., Ltd. Power rail for standard cell block
US11018157B2 (en) 2017-09-28 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Local interconnect structure
US10380308B2 (en) * 2018-01-10 2019-08-13 Qualcomm Incorporated Power distribution networks (PDNs) using hybrid grid and pillar arrangements
KR102576212B1 (ko) 2018-09-21 2023-09-07 삼성전자주식회사 반도체 장치
US10811415B2 (en) * 2018-10-25 2020-10-20 Samsung Electronics Co., Ltd. Semiconductor device and method for making the same
US11030372B2 (en) * 2018-10-31 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Method for generating layout diagram including cell having pin patterns and semiconductor device based on same
US11093684B2 (en) * 2018-10-31 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Power rail with non-linear edge
US10998238B2 (en) 2018-10-31 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with buried interconnect conductors
US10720391B1 (en) 2019-01-04 2020-07-21 Globalfoundries Inc. Method of forming a buried interconnect and the resulting devices
US20200266169A1 (en) * 2019-02-19 2020-08-20 Tokyo Electron Limited Replacement buried power rail in backside power delivery
US10985103B2 (en) 2019-03-01 2021-04-20 Samsung Electronics Co., Ltd Apparatus and method of forming backside buried conductor in integrated circuit
US10886224B2 (en) 2019-05-22 2021-01-05 Samsung Electronics Co., Ltd. Power distribution network using buried power rail
WO2020235082A1 (ja) 2019-05-23 2020-11-26 株式会社ソシオネクスト 半導体装置
US11437376B2 (en) * 2019-05-31 2022-09-06 Tokyo Electron Limited Compact 3D stacked-CFET architecture for complex logic cells
JP7302658B2 (ja) 2019-06-18 2023-07-04 株式会社ソシオネクスト 半導体装置
US11233008B2 (en) 2019-06-19 2022-01-25 Samsung Electronics Co., Ltd. Method of manufacturing an integrated circuit with buried power rail
US11101217B2 (en) 2019-06-27 2021-08-24 International Business Machines Corporation Buried power rail for transistor devices
DE102020130144A1 (de) 2019-12-30 2021-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Headerlayoutdesign, umfassend eine rückseitenstromschiene
US11398257B2 (en) * 2019-12-30 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Header layout design including backside power rail
US11217533B2 (en) 2020-02-07 2022-01-04 Globalfoundries U.S. Inc. Semiconductor device with metal structure under an active layer
US11145550B2 (en) 2020-03-05 2021-10-12 International Business Machines Corporation Dummy fin template to form a self-aligned metal contact for output of vertical transport field effect transistor
CN113299648A (zh) 2020-06-05 2021-08-24 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11227922B2 (en) 2020-06-18 2022-01-18 International Business Machines Corporation Sloped epitaxy buried contact
US11521927B2 (en) 2020-11-10 2022-12-06 International Business Machines Corporation Buried power rail for scaled vertical transport field effect transistor
CN114284267A (zh) 2020-11-13 2022-04-05 台湾积体电路制造股份有限公司 集成电路及其制造方法
US11694968B2 (en) * 2020-11-13 2023-07-04 Samsung Electronics Co., Ltd Three dimensional integrated semiconductor architecture having alignment marks provided in a carrier substrate
US11621332B2 (en) 2021-01-14 2023-04-04 International Business Machines Corporation Wraparound contact to a buried power rail
US11915966B2 (en) 2021-06-09 2024-02-27 International Business Machines Corporation Backside power rail integration
US12002874B2 (en) 2021-07-26 2024-06-04 International Business Machines Corporation Buried power rail contact
US11804436B2 (en) 2021-09-03 2023-10-31 International Business Machines Corporation Self-aligned buried power rail cap for semiconductor devices
US11764298B2 (en) 2021-09-17 2023-09-19 International Business Machines Corporation VTFET with buried power rails
US11990412B2 (en) 2021-09-29 2024-05-21 International Business Machines Corporation Buried power rails located in a base layer including first, second, and third etch stop layers

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3231741B2 (ja) 1999-06-28 2001-11-26 エヌイーシーマイクロシステム株式会社 スタンダードセル、スタンダードセル列、スタンダードセルの配置配線装置および配置配線方法
JP2005197518A (ja) 2004-01-08 2005-07-21 Matsushita Electric Ind Co Ltd 半導体装置とセル
US7821039B2 (en) * 2008-06-23 2010-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Layout architecture for improving circuit performance
US8120086B2 (en) * 2008-09-30 2012-02-21 Taiwan Semiconductor Manufacturing Co., Ltd Low leakage capacitors including portions in inter-layer dielectrics
US8164190B2 (en) 2009-06-25 2012-04-24 International Business Machines Corporation Structure of power grid for semiconductor devices and method of making the same
WO2012056615A1 (ja) * 2010-10-26 2012-05-03 パナソニック株式会社 半導体装置
US8507957B2 (en) * 2011-05-02 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layouts with power rails under bottom metal layer
GB201202544D0 (en) * 2012-02-14 2012-03-28 Pragmatic Printing Ltd Electronic devices
US8471316B2 (en) * 2011-09-07 2013-06-25 Omnivision Technologies, Inc. Isolation area between semiconductor devices having additional active area
US8607172B2 (en) 2011-10-06 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods of designing the same
US8723269B2 (en) 2011-12-27 2014-05-13 Leonard Richard Rockett Buried power grid designs for improved radiation hardness in CMOS technologies
US9397098B2 (en) * 2012-03-08 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-based ESD devices and methods for forming the same
US8618607B1 (en) * 2012-07-02 2013-12-31 Globalfoundries Inc. Semiconductor devices formed on a continuous active region with an isolating conductive structure positioned between such semiconductor devices, and methods of making same
CN103855134A (zh) * 2012-11-30 2014-06-11 英力股份有限公司 包括耦合至解耦合器件的半导体器件的装置
US9318607B2 (en) * 2013-07-12 2016-04-19 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US20150263039A1 (en) * 2014-03-12 2015-09-17 Paramjeet Singh Standard cell layout for logic gate
US10361195B2 (en) * 2014-09-04 2019-07-23 Samsung Electronics Co., Ltd. Semiconductor device with an isolation gate and method of forming
US9336348B2 (en) * 2014-09-12 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming layout design
US9412700B2 (en) * 2014-10-15 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing semiconductor device
US9547741B2 (en) * 2014-10-20 2017-01-17 Globalfoundries Inc. Methods, apparatus, and system for using filler cells in design of integrated circuit devices

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI734919B (zh) * 2017-06-22 2021-08-01 日商東京威力科創股份有限公司 埋藏式電力軌
TWI715266B (zh) * 2018-10-26 2021-01-01 台灣積體電路製造股份有限公司 積體電路結構的形成方法及積體電路結構
TWI750997B (zh) * 2020-04-01 2021-12-21 台灣積體電路製造股份有限公司 半導體結構及其形成方法
US11217528B2 (en) 2020-04-01 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having buried power rail disposed between two fins and method of making the same

Also Published As

Publication number Publication date
KR20170057820A (ko) 2017-05-25
CN106711120A (zh) 2017-05-24
KR102409525B1 (ko) 2022-06-15
US9570395B1 (en) 2017-02-14
TWI695470B (zh) 2020-06-01
CN106711120B (zh) 2022-03-18

Similar Documents

Publication Publication Date Title
TW201719835A (zh) 具有埋入電源軌的半導體裝置及製造其的方法
KR102149801B1 (ko) 파워 혼 및 스마크 금속 절단부를 가진 표준-셀 레이아웃 구조물
KR102195406B1 (ko) 핀펫과 로컬 인터커넥트 층을 포함하는 반도체 장치 및 그 제조 방법
JP7486058B2 (ja) 後面電力供給における交換用埋設電力レール
US9793211B2 (en) Dual power structure with connection pins
CN107039525B (zh) 用于高功率电迁移的通孔轨解决方案
CN107452732A (zh) 集成芯片及其形成方法
EP3343614A2 (en) Standard cell for vertical transistors
KR102502870B1 (ko) 표준 셀 블록용 파워 레일
US10312189B2 (en) Enhancing integrated circuit density with active atomic reservoir
JP6131114B2 (ja) 半導体装置及びその製造方法
TW202121650A (zh) 針對高效率3d集成相關應用資料的高度規則邏輯設計
US10950540B2 (en) Enhancing integrated circuit density with active atomic reservoir
US10014214B2 (en) Electronic device including moat power metallization in trench
US11688691B2 (en) Method of making standard cells having via rail and deep via structures
TW201935616A (zh) 具有鰭狀結構的半導體裝置
TW202301599A (zh) 積體電路
KR101455255B1 (ko) 반도체 소자의 제조방법