CN106711120A - 具有掩埋电源轨的半导体器件及其制造方法 - Google Patents

具有掩埋电源轨的半导体器件及其制造方法 Download PDF

Info

Publication number
CN106711120A
CN106711120A CN201610972556.6A CN201610972556A CN106711120A CN 106711120 A CN106711120 A CN 106711120A CN 201610972556 A CN201610972556 A CN 201610972556A CN 106711120 A CN106711120 A CN 106711120A
Authority
CN
China
Prior art keywords
power rail
semiconductor devices
substrate
layer
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610972556.6A
Other languages
English (en)
Other versions
CN106711120B (zh
Inventor
沃克·森古皮塔
洪俊顾
马克·S·罗德尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN106711120A publication Critical patent/CN106711120A/zh
Application granted granted Critical
Publication of CN106711120B publication Critical patent/CN106711120B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66272Silicon vertical transistors
    • H01L29/66295Silicon vertical transistors with main current going through the whole silicon substrate, e.g. power bipolar transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4885Wire-like parts or pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0266Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using field effect transistors as protective elements
    • H01L27/0285Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using field effect transistors as protective elements bias arrangements for gate electrode of field effect transistors, e.g. RC networks, voltage partitioning circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/783Field effect transistors with field effect produced by an insulated gate comprising a gate to body connection, i.e. bulk dynamic threshold voltage MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

提供了一种半导体器件及其制造方法,所述半导体器件包括:基底;电源轨,位于基底上;有源层,位于基底上并与电源轨位于同一层处;以及接触件,将电源轨电连接到有源层。有源层包括源极端子/漏极端子。

Description

具有掩埋电源轨的半导体器件及其制造方法
本申请要求于2015年11月17日提交的第62/256,590号美国临时专利申请的优先权和权益以及于2016年5月18日提交的第15/158,500号美国临时专利申请的权益,以上两件申请的全部内容通过引用包含于此。
技术领域
本发明的示例性实施例的各方面涉及一种具有掩埋电源轨的半导体器件。
背景技术
当形成包括诸如集成电路的多个半导体器件的装置时,标准单元可以用作用于设计和制造集成电路的基础单元(base unit)。标准单元可以用来形成一个或更多个功能电路,并且每个标准单元可以具有相同的占用空间(footprint)(例如,可以具有标准的占用空间)。在设计复杂的电路和组件时使用标准单元减少设计和制造成本。
使用时,装置的每个标准单元需要电源(Vdd)输入和接地(Vss)连接。为了对其各种组件提供电力,每个标准单元通常结合到电连接到标准单元的有源层的电源轨以提供电源(Vdd)。在一些情况下,可以对每个标准单元提供多个电源轨以分别提供电源(Vdd)和接地(Vss)。
另外,为了将电源提供给每个电源轨,芯片级电网(chip level power grid)包括在装置中。芯片级电网可以穿过各种标准单元或在各种标准单元之间运行并电连接到位于沿电源轨的长度的各个点处的电源轨。
参照图1,标准单元1包括电源轨10、接触件30(例如,接触层)和通路20(例如,分接头)。通路20在电源轨10与接触件30之间延伸并将电源轨10电连接到接触件30,从而将电力提供到标准单元。
标准单元1还包括具有源极端子/漏极端子(例如,源电极/漏电极)的有源层40(例如,鳍式片或纳米片)和栅电极50。虽然未示出,但是有源层40通过栅极绝缘层与栅电极分离。如从图1中可以看出,电源轨10被布置在接触件30上方和有源层40上方。
通常,标准单元被设计为具有各种金属层(例如,命名为M1-Mx)的堆叠结构。最下面的金属层通常被命名为M1,并且是位于互连层(例如,金属互连层)(有时被命名为M0)上方的第一金属层。
金属层M1-Mx均包括布线迹线(routing track),各种互连件12、13形成在布线迹线中以使标准单元的各种组件彼此连接并使标准单元的各种组件连接到其它标准单元。通常,包括多个标准单元的设计可以包括多达大约八个金属层M1-M8。然而,半导体器件可以使用更多或更少的金属层来制造。
在图1中,标准单元1的电源轨10被布置在第一金属层M1中,所述第一金属层M1位于其中布置有有源层40和栅电极50的互连层M0上方。互连层M0可以是位于基底上的第一金属层并通过绝缘层(例如,电绝缘层)与第一金属层M1电绝缘。
由于电源轨10被布置在与有源层40不同的层中,因此包括通路20。通路20延伸穿过位于第一金属层M1与互连层M0之间的绝缘层并将电源轨10电连接到接触件30,由此连接到有源层40。
图5是图1中示出的标准单元1的示意性侧视图。在图5中,互连层M0由1000指示,接触件30和有源层40被布置在互连层M0中。第一金属层M1由1010指示,电源轨10和布线迹线90被布置在第一金属层M1处。如可以看出,电源轨10与布线迹线90在标准单元1中占用同一水平,因此,减少标准单元1中的可用于布线迹线90的空间。
另外,因为电源轨10包括在金属层M1-Mx中的一个中,所以电源轨10占用可另行用于布线迹线90和各种互连件的空间。另外,电源轨10通过通路20连接到有源层40,设计包括标准单元1的半导体器件时必须考虑到穿过通路20的IR降。
发明内容
本公开涉及包括掩埋电源轨的半导体器件的各种实施例。在一个实施例中,半导体器件包括布置在互连层上方的多个金属层(例如,金属布线层),有源层和电源轨布置在互连层上。
根据一个实施例,半导体器件包括:基底;电源轨,位于基底上;有源层,位于基底上并与电源轨位于同一层处;以及接触件,将电源轨电连接到有源层。有源层包括源极端子和漏极端子。
半导体器件还可以包括与电源轨位于同一层处的栅电极。
电源轨的底表面可以比接触件的底表面远离基底上方。
电源轨的上表面可以位于接触件的上表面上方。
半导体器件还可以包括多个金属层,每个金属层可以包括多个互连件。电源轨可以布置在多个金属层下方。
金属层中的多个互连件中的最接近基底的一个可以在垂直于基底的上表面的方向上位于电源轨上方。
每个金属层中的互连件中的至少一个互连件可以在平行于基底的上表面的方向上在电源轨上方延伸。
接触件和电源轨可以一体地形成。
电源轨的底表面可以与接触件的底表面距基底上方相同的距离。
电源轨可以包括低电阻金属。
根据另一个实施例,半导体器件包括:基底;电源轨,位于基底上;有源层,位于基底上并包括源极端子和漏极端子,基底与电源轨之间的层的数量与基底与有源层之间的层的数量相同;以及接触件,将电源轨电连接到有源层。
半导体器件还可以包括与电源轨位于同一层中的多个栅电极。
电源轨的底表面可以比接触件的底表面远离基底。
半导体器件还可以包括位于电源轨上方的多个金属层,每个金属层可以包括多个互连件。
位于电源轨上方的金属层中的第一金属层中的至少一个互连件可以在垂直于基底的上表面的方向上在电源轨上方延伸。
每个金属层中的至少一个互连件可以在垂直于基底的上表面的方向上在电源轨上方延伸。
垂直于基底的上表面延伸的假想线可以延伸穿过电源轨和位于电源轨上方的金属层中的第一金属层中的至少一个互连件。
本公开也涉及了制造半导体器件的方法的各种实施例。在一个实施例中,制造半导体器件的方法包括以下步骤:在基底上形成有源层;在基底上形成多个接触件;以及在基底上形成电源轨,电源轨与接触件位于同一水平处。接触件电连接到有源层,电源轨通过接触件电结合到有源层。
所述方法还可以包括以下步骤:在有源层上形成绝缘层;在绝缘层中形成第一沟槽以限定第一区;以及在绝缘层中形成第二沟槽以限定第二区。电源轨的形成和接触件的形成可以包括使用导电材料填充位于绝缘层中的第一区和第二区两者。
所述方法还可以包括使基底图案化以形成位于单元分离边界处的沟槽,电源轨的形成可以包括在位于单元分离边界处的沟槽上方形成电源轨。
提供本发明内容以引入以下在具体实施方式中进一步描述的本公开的示例性实施例的特征和构思的选择。本发明内容既不意图识别权利要求主题的关键或本质的特征,也不意图用来限制权利要求主题的范围。一个或更多个描述的特征可以结合一个或更多个其它描述的特征以提供可工作的装置。
附图说明
图1是标准单元的部分的透视图;
图2是根据本发明的实施例的标准单元的部分的透视图;
图3是根据本发明的另一个实施例的标准单元的部分的透视图;
图4是根据本发明的另一个实施例的标准单元的部分的透视图;
图5是图1中示出的标准单元的各方面的示意性侧视图;
图6是根据本发明的实施例的标准单元的各方面的示意性侧视图;
图7是根据本发明的另一个实施例的标准单元各方面的示意性侧视图;
图8至图26示出根据本发明的实施例的制造标准单元的方法。
具体实施方式
本公开涉及包括掩埋电源轨的半导体器件的各种示例性实施例。在一个实施例中,半导体器件包括与半导体器件的有源层位于同一层或基本上位于同一层(诸如在互连层中且在其金属层M1-Mx(例如,金属布线层)之下)处的电源轨。
因此,可以制造半导体器件而不包括将电源轨连接到有源层的通路,因此,减少由通路引起的IR降。在一个实施例中,增加用于互连件的金属层M1-Mx中可用的布线迹线的数量,因此,减少布局和布线(PnR)拥塞。另外,可以减小单元高度。在其它实施例中,可以维持单元高度,但布线迹线会加宽,因此,减小互连件中的布线电阻和电容并改善设计性能。
在下文中,将参照附图更加详细地描述示例实施例,其中,同样的附图标记始终表示同样的元件。然而,本发明可以以各种不同的形式来实施,而不应解释为仅限制于这里示出的实施例。相反,提供这些实施例作为示例,使得本公开将是彻底和完全的,并将本发明的各方面和特征充分地传达给本领域的技术人员。因此,可以不描述对于本领域普通技术人员彻底理解本发明的各方面和特征而言所不必要的工艺、元件和技术。除非另外说明,否则贯穿附图和书面描述,同样的附图标记表示同样的元件,因此,不会重复它们的描述。
在附图中,为了清楚,可以夸大和/或简化元件、层和区域的相对尺寸。为了易于说明,这里可以使用诸如“在……之下”、“在……下方”、“下”、“在……下”、“在……上方”、“上”等的空间相对术语来描述如图中示出的一个元件或特征与另一元件或特征之间的关系。将理解的是,除了图中描绘的方位之外,空间相对术语还意图包含装置在使用或操作中的不同方位。例如,如果图中的装置被翻转,则描述为“在”其它元件或特征“下方”或“之下”或“在”其它元件或特征“下”的元件随后将被定位“在”所述其它元件或特征“上方”。因此,示例术语“在……下方”和“在……下”可以包含在……上方和在……下方两种方位。装置可以另行定位(例如,旋转90度或在其它方位处),并且应相应地解释这里使用的空间相对描述语。
将理解的是,尽管这里可以使用术语“第一”、“第二”、“第三”等来描述各种元件、组件、区域、层和/或部分,但是这些元件、组件、区域、层和/或部分不应受这些术语限制。这些术语用来将一个元件、组件、区域、层或部分与另一元件、组件、区域、层或部分区分开。因此,在不脱离本发明的精神和范围的情况下,以下描述的第一元件、组件、区域、层或部分可以被命名为第二元件、组件、区域、层或部分。
将理解的是,当元件或层被称作“在”另一元件或层“上”、“连接到”或“结合到”另一元件或层时,该元件或层可以直接在所述另一元件或层上、直接连接或结合到所述另一元件或层,或者可以存在一个或更多个中间元件或层。另外,还将理解的是,当元件或层被称作“在”两个元件或层“之间”时,该元件或层可以是位于所述两个元件或层之间的唯一的元件或层,或者也可以存在一个或更多个中间元件或层。
这里使用的术语用于描述具体实施例的目的,而不意图限制本发明。如这里使用的,除非上下文另外明确指出,否则单数形式“一个”和“一种(者)”也意图包括复数形式。将进一步理解的是,当本说明书中使用术语“包括”及其变型时,说明存在陈述的特征、整体、步骤、操作、元件和/或组件,但不排除存在或添加一个或更多个其它特征、整体、步骤、操作、元件、组件和/或它们的组。如这里使用的,术语“和/或”包括一个或更多个相关所列项的任何组合和所有组合。当诸如“中的至少一个(种)”的表述在一列元件之后时,修饰整列元件,而不是修饰该列的个别元件。
如这里使用的,术语“基本上”、“大约”和相似术语被用作近似术语而不用作程度术语,并且意图解释本领域普通技术人员将识别的测量值或计算值的固有偏差。另外,当描述本发明的实施例时“可以”的使用是指“本发明的一个或更多个实施例”。如这里使用的,术语“使用”及其变型可以被认为与术语“利用”及其变型同义。另外,术语“示例性”意图指示例或例证。
除非另有定义,否则这里使用的所有术语(包括技术术语和科学术语)具有与本发明所属领域的普通技术人员所通常理解的含义相同的含义。将进一步理解的是,除非在此明确这样定义,否则诸如在通用字典中定义的术语应被解释为具有与相关领域的上下文和/或本说明书中的它们的含义相一致的含义,而不应以理想化或过于形式化的含义来进行解释。
图2是根据本发明的实施例的标准单元2的部分的透视图。标准单元2包括电源轨100、接触件300(例如,接触层)、包括源极端子/漏极端子(例如,源电极/漏电极)的有源层400(例如,鳍式片或纳米片)以及栅电极50。尽管未示出,但是栅电极50通过栅极绝缘层与有源层400分离。标准单元2可以是例如反相器。
在标准单元2中,电源轨100、接触件300、有源层400和栅电极50均位于互连层M0(例如,金属互连层)处。互连层M0位于金属层M1-Mx(例如,金属布线层)下方,而位于器件连接层上方。单元级电网可以位于上金属层中,电源轨100可以在沿其长度的以规则间隔(例如,每10-20微米)的各个点处通过标准通路互连件电连接到单元级电网。在图2中,各种互连件12、13示出在电源轨100、接触件300、有源层400和栅电极50上方,互连件12、13位于金属层M1处。
在标准单元2中,电源轨100与接触件300相邻(例如,直接相邻),而不是布置在如图1中示出的金属层M1中。例如,电源轨100在平行于其上布置有这些组件的基底的上表面的方向上与接触件300相邻。通过将电源轨100布置在互连层M0处并且与接触件300相邻,金属层M1中的先前被电源轨10占用的区域(见图1)现在不被占用。因此,上金属层(诸如位于电源轨100上方的金属层M1-Mx)中的区域可以用于布线迹线,因此用于信号互连(例如,信号布线)。
电源轨100与栅电极50隔开(例如,间隔开)一段距离以减少或防止其间短路。例如,电源轨100被布置为在平行于基底的上表面的方向上与栅电极50隔开,以防止在电源轨100与栅电极50之间发生短路。
电源轨100可以直接电连接到接触件300。即,不是如图1中示出的标准单元1中的电源轨10通过通路连接到接触件300,电源轨100直接连接到接触件300。换言之,不是电源轨10通过通路20间接电连接到接触件30(见图1),电源轨100直接电连接到接触件300。这样,电源轨100与接触件300之间比通路20与接触件30之间(见图1)存在更大的接触区域。因此,由于电源轨100与接触件300之间的较低的寄生电阻,因此可以减少电源轨100与接触件300之间的IR降并改善DC FOM(例如,较高的Ieff)和/或可以获得AC FOM。然而,本发明不限于此,电源轨100与接触件300之间可以存在中间组件,所述中间组件可以将电源轨100电连接到接触件300。
另外,电源轨100沿接触件300的整个或基本上整个高度直接电连接到接触件300,因此进一步减小位于电源轨100与接触件300之间的连接处的任何IR降。例如,当与利用通路的连接相比时,不受通路(例如,图1中示出的通路20)限制,电源轨100与接触件300具有相对大的接触面积,因此在其间的连接处减小IR降。
通过将电源轨100布置在互连层M0中,因为电源轨100不局限于金属层M1中的布线迹线的尺寸,所以与电源轨10(见图1)相比,电源轨100的尺寸可以增加。例如,电源轨100的高度可以与接触件300相同或基本上相同。在另一实施例中,如图4中所示,电源轨120的高度可以大于接触件300的高度。另外,在电源轨100包含与电源轨10(见图1)相同量或比电源轨10更多量的导电材料的同时,与电源轨10相比,电源轨100的宽度可以减小。通过增加电源轨100的尺寸,因此,增加电源轨100的导电材料的量,电源轨100的电阻小于电源轨10的电阻,与标准单元1相比,减小了IR降。另外,即使当电源轨100的宽度与电源轨10相比减小时,电源轨100的总尺寸也大于电源轨10的总尺寸,因此保证减小的IR降。
图3是根据本发明的另一个实施例的标准单元3的部分的透视图。标准单元3包括电源轨110、接触件300、包括源极/漏极端子的有源层400和栅电极50。参照图3,电源轨110和接触件300布置在与有源层400和栅电极50的水平相同的水平处。电源轨110的高度和/或深度可以在基底上方进行独立地修改(例如,独立于有源层400之外),以满足各种期望的IR降目标值。例如,如图4中所示,电源轨120的上表面和下表面可以延伸超出接触件300的上表面和下表面。然而,即使当电源轨110的高度与有源层400和栅电极50的高度稍微不同时,电源轨110仍在互连层M0中并且在金属层M1下方。例如,电源轨110的上表面位于金属层M1下方,使得位于上金属层M1-Mx中的在电源轨110上方的区域可以用于布线迹线。
图6是根据本发明的实施例的标准单元的各方面的示意性侧视图。在图6中,布线迹线90位于金属层M1(由1010指示)处,,电源轨100、接触件300和有源层400位于互连层M0(由1000指示)处。如图6中可以看出,因为电源轨100被布置在互连层M0中,而不是在金属层M1中(见图5中的10),所以标准单元的总的尺寸或占用空间减小,而不减少布线迹线90的数量。
图7是根据本发明的另一个实施例的标准单元的各方面的示意性侧视图。与图6相似,布线迹线91位于金属层M1(由1010指示)处,电源轨100、接触件300和有源层400位于互连层M0(由1000指示)处。在该实施例中,与图6的实施例不同,与图6中示出的标准单元1相比,标准单元的总的尺寸或占用空间不减小或基本上不减小,但是每个布线迹线91的尺寸增加。例如,每个布线迹线91大于图5和图6中示出的布线迹线90。这样,形成在布线迹线91中的互连件可以大于形成在布线迹线90中的互连件,从而具有减小的电阻并提高标准单元的性能。
在又一实施例中,可以包括额外的布线迹线90,同时所述布线迹线90保持在标准单元的占用空间内。例如,可以代替用于图5中的电源轨10的空间布置额外的布线迹线90(见图6)而不增加标准单元的占用空间。
在另一实施例中,布线迹线90可以彼此间隔较远而不增加标准单元的占用空间。例如,不增加布线迹线(见图7中的91)的尺寸,布线迹线90(见图6)可以间隔较远(例如,布线迹线间距可以增加)而不增加标准单元的占用空间。在该实施例中,布线迹线90之间增加的间距提供减小的布线电容,布线电容由相邻布线迹线90之间存在的绝缘材料产生。
另外,本发明不限于上面描述的实施例,各种实施例的各方面可以在一个实施例中结合在一起。例如,在一个实施例中,一些布线迹线可以具有增大的尺寸(见图7中的91),同时其它布线迹线间隔较远。在另一实施例中,位于一个金属层上的布线迹线可以大于位于另一金属层上的布线迹线,或者一个金属层可以包括较大的布线迹线,同时另一金属层包括比所述一个金属层大的布线迹线。
图8至图26示出根据本发明的实施例的制造标准单元的方法。参照图14,通过例如使用图案掩模使基底600图案化,以形成在单元分离边界处形成的多个沟槽(例如,凹槽)。可以沿基底600以重复的图案布置形成在单元分离边界处的沟槽,以限定将形成标准单元的区域。
参照图15,形成在单元分离边界处的沟槽可以填充有导电材料601(例如,电绝缘材料)。导电材料601可以是例如钨(W)、铜(Cu)或钴(Co)的金属。然而,导电材料601不限于此。另外,在基底600的位于形成在单元分离边界处的沟槽之间的上表面上形成有源外延层602。然而,本发明不限于此,可以在基底600的基本上整个上表面上方形成有源外延层602。然后,可以去除有源外延层602的位于形成在单元分离边界处的沟槽处的部分。另外,在基底600上形成有源外延层602之前,可以在基底600上形成诸如氧化物层和/或硅层的绝缘层。
参照图16,通过例如使用图案掩模使有源外延层602图案化或对其进行蚀刻。例如,可以使有源外延层602图案化,从而去除有源外延层602的部分,暴露基底600的上表面,或者在其它实施例中,暴露底层绝缘层。有源外延层602的剩余部分可以不同地形成源电极和漏电极。
参照图17,在有源外延层602的剩余部分之间形成有源层400(例如,鳍式片或纳米片)。尽管有源层400示出为鳍式FET,但是本发明不限于此。例如,本发明的各方面可以应用到诸如水平纳米片FET的纳米片FET。
参照图18,在基底600上方以及有源外延层602和有源层400上方形成第一绝缘层603(例如,第一氧化物层)。然后,参照图19,通过例如使用图案掩模使第一绝缘层603图案化或对其进行蚀刻。例如,使第一绝缘层603的位于有源层400上方的部分图案化或对其进行蚀刻,从而穿过第一绝缘层603暴露有源层400。
参照图20,在第一绝缘层603中的开口中形成有源栅电极50和虚设的栅电极50'。栅电极50和50'可以由金属制成。图8示出图20的栅电极50和50'以及有源层400的俯视图,图9示出图20的栅电极50和50'以及有源层400的透视图。为了清楚,未在图8至图13中示出有源外延层602。
参照图21,在第一绝缘层603以及栅电极50和50'上方形成第二绝缘层605(例如,第二氧化物层)。然后,参照图22,通过例如使用图案掩模使第一绝缘层603和第二绝缘层605图案化或对其进行蚀刻,以去除其位于栅电极50和50'之中的相邻的栅电极50以及相邻的栅电极50与栅电极50'之间的部分。第一绝缘层603和第二绝缘层605的图案化区域(例如,第一绝缘层603和第二绝缘层605中的开口)形成局部互连线。然后,切口掩模(cutmask)用来修改局部互连线长度。
参照图23和图24,局部互连线填充有(例如,部分填充有)牺牲材料500。牺牲材料可以是例如碳(C)或硅-有机-杂化(SOH)材料。图10示出图23和图24的栅电极50和50'、有源层400以及牺牲材料500的俯视图,图11示出图23和图24的栅电极50和50'、有源层400以及牺牲材料500的透视图。
然后,参照图25,在局部互连线处去除牺牲材料500。参照图26,将诸如金属的材料填充在局部互连线中。所述金属可以是诸如钨(W)或钴(Co)的低电阻金属。所述金属材料形成电源轨110和接触件300。如可以看到的,可以一体地形成电源轨110和接触件300。然而,本发明不限于此,电源轨110可以与接触件300分开地形成并可以是与接触件300的材料不同的材料。图12示出图26的栅电极50和50'、有源层400、电源轨110以及接触件300的俯视图,图13示出图26的栅电极50和50'、有源层400、电源轨110以及接触件300的透视图。
图8至图26示出制造其中电源轨稍微位于源电极/漏电极上方的半导体器件(诸如图3中示出的实施例)的方法。然而,制造的方法不限于此,上面描述的方法可以修改,使得电源轨与源电极/漏电极基本上水平,诸如图2中示出的实施例。
尽管已经参照示例实施例描述了本发明,但是本领域技术人员将认识到的是,在都不脱离本发明的精神和范围的情况下,可以执行对所描述的实施例的各种改变和修改。另外,各领域的技术人员将认识到的是,这里描述的本发明将启发对其它任务的解决方案和对其它应用的适应。在都不脱离本发明的精神和范围的情况下,申请人意图覆盖这里的权利要求、本发明的所有这样的使用和可以对这里为了公开的目的而选出的本发明的示例实施例做出的那些改变和修改。因此,在本发明的精神和范围由所附权利要求和它们的等同物指示的情况下,本发明的示例实施例在所有方面应被认为是示出性的而不是限制性的。

Claims (20)

1.一种半导体器件,所述半导体器件包括:
基底;
电源轨,位于基底上;
有源层,位于基底上并与电源轨位于同一层处,有源层包括源极端子和漏极端子;以及
接触件,将电源轨电连接到有源层。
2.根据权利要求1所述的半导体器件,所述半导体器件还包括与电源轨位于同一层处的栅电极。
3.根据权利要求2所述的半导体器件,其中,电源轨的底表面比接触件的底表面远离基底上方。
4.根据权利要求3所述的半导体器件,其中,电源轨的上表面位于接触件的上表面上方。
5.根据权利要求1所述的半导体器件,所述半导体器件还包括多个金属层,每个金属层包括多个互连件,
其中,电源轨布置在所述多个金属层下方。
6.根据权利要求5所述的半导体器件,其中,金属层中的所述多个互连件中的最接近基底的一个在垂直于基底的上表面的方向上位于电源轨上方。
7.根据权利要求5所述的半导体器件,其中,每个金属层中的互连件中的至少一个互连件在平行于基底的上表面的方向上在电源轨上方延伸。
8.根据权利要求1所述的半导体器件,其中,接触件和电源轨一体地形成。
9.根据权利要求1所述的半导体器件,其中,电源轨的底表面与接触件的底表面距基底上方相同的距离。
10.根据权利要求9所述的半导体器件,其中,电源轨包括低电阻金属。
11.一种半导体器件,所述半导体器件包括:
基底;
电源轨,位于基底上;
有源层,位于基底上并包括源极端子和漏极端子,基底与电源轨之间的层的数量与基底与有源层之间的层的数量相同;以及
接触件,将电源轨电连接到有源层。
12.根据权利要求11所述的半导体器件,所述半导体器件还包括与电源轨位于同一层中的多个栅电极。
13.根据权利要求12所述的半导体器件,其中,电源轨的底表面比接触件的底表面远离基底。
14.根据权利要求11所述的半导体器件,所述半导体器件还包括位于电源轨上方的多个金属层,每个金属层包括多个互连件。
15.根据权利要求14所述的半导体器件,其中,位于电源轨上方的金属层中的第一金属层中的至少一个互连件在垂直于基底的上表面的方向上在电源轨上方延伸。
16.根据权利要求14所述的半导体器件,其中,每个金属层中的至少一个互连件在垂直于基底的上表面的方向上在电源轨上方延伸。
17.根据权利要求14所述的半导体器件,其中,垂直于基底的上表面延伸的假想线延伸穿过电源轨和位于电源轨上方的金属层中的第一金属层中的至少一个互连件。
18.一种制造半导体器件的方法,所述方法包括以下步骤:
在基底上形成有源层;
在基底上形成多个接触件,接触件电连接到有源层;以及
在基底上形成电源轨,电源轨通过接触件电结合到有源层,电源轨与接触件位于同一水平处。
19.根据权利要求18所述的方法,所述方法还包括以下步骤:
在有源层上形成绝缘层;
在绝缘层中形成第一沟槽以限定第一区;以及
在绝缘层中形成第二沟槽以限定第二区,
其中,电源轨的形成和接触件的形成包括使用导电材料填充位于绝缘层中的第一区和第二区两者。
20.根据权利要求19所述的方法,所述方法还包括使基底图案化以形成位于单元分离边界处的沟槽,
其中,电源轨的形成包括在位于单元分离边界处的沟槽上方形成电源轨。
CN201610972556.6A 2015-11-17 2016-11-03 具有掩埋电源轨的半导体器件及其制造方法 Active CN106711120B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562256590P 2015-11-17 2015-11-17
US62/256,590 2015-11-17
US15/158,500 US9570395B1 (en) 2015-11-17 2016-05-18 Semiconductor device having buried power rail
US15/158,500 2016-05-18

Publications (2)

Publication Number Publication Date
CN106711120A true CN106711120A (zh) 2017-05-24
CN106711120B CN106711120B (zh) 2022-03-18

Family

ID=57964984

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610972556.6A Active CN106711120B (zh) 2015-11-17 2016-11-03 具有掩埋电源轨的半导体器件及其制造方法

Country Status (4)

Country Link
US (1) US9570395B1 (zh)
KR (1) KR102409525B1 (zh)
CN (1) CN106711120B (zh)
TW (1) TWI695470B (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10347546B2 (en) * 2016-12-23 2019-07-09 Globalfoundries Inc. Integrated circuit structure including power rail and tapping wire with method of forming same
US10784198B2 (en) 2017-03-20 2020-09-22 Samsung Electronics Co., Ltd. Power rail for standard cell block
US10586765B2 (en) * 2017-06-22 2020-03-10 Tokyo Electron Limited Buried power rails
US11018157B2 (en) * 2017-09-28 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Local interconnect structure
US10380308B2 (en) * 2018-01-10 2019-08-13 Qualcomm Incorporated Power distribution networks (PDNs) using hybrid grid and pillar arrangements
KR102576212B1 (ko) 2018-09-21 2023-09-07 삼성전자주식회사 반도체 장치
US10811415B2 (en) * 2018-10-25 2020-10-20 Samsung Electronics Co., Ltd. Semiconductor device and method for making the same
US10872818B2 (en) * 2018-10-26 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Buried power rail and method forming same
US11030372B2 (en) * 2018-10-31 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Method for generating layout diagram including cell having pin patterns and semiconductor device based on same
US10998238B2 (en) 2018-10-31 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with buried interconnect conductors
US10720391B1 (en) 2019-01-04 2020-07-21 Globalfoundries Inc. Method of forming a buried interconnect and the resulting devices
US20200266169A1 (en) * 2019-02-19 2020-08-20 Tokyo Electron Limited Replacement buried power rail in backside power delivery
US10985103B2 (en) 2019-03-01 2021-04-20 Samsung Electronics Co., Ltd Apparatus and method of forming backside buried conductor in integrated circuit
US10886224B2 (en) 2019-05-22 2021-01-05 Samsung Electronics Co., Ltd. Power distribution network using buried power rail
JP7268728B2 (ja) 2019-05-23 2023-05-08 株式会社ソシオネクスト 半導体装置
US11437376B2 (en) * 2019-05-31 2022-09-06 Tokyo Electron Limited Compact 3D stacked-CFET architecture for complex logic cells
JP7302658B2 (ja) 2019-06-18 2023-07-04 株式会社ソシオネクスト 半導体装置
US11233008B2 (en) 2019-06-19 2022-01-25 Samsung Electronics Co., Ltd. Method of manufacturing an integrated circuit with buried power rail
US11101217B2 (en) 2019-06-27 2021-08-24 International Business Machines Corporation Buried power rail for transistor devices
DE102020130144A1 (de) 2019-12-30 2021-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Headerlayoutdesign, umfassend eine rückseitenstromschiene
US11398257B2 (en) * 2019-12-30 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Header layout design including backside power rail
US11217533B2 (en) 2020-02-07 2022-01-04 Globalfoundries U.S. Inc. Semiconductor device with metal structure under an active layer
US11145550B2 (en) 2020-03-05 2021-10-12 International Business Machines Corporation Dummy fin template to form a self-aligned metal contact for output of vertical transport field effect transistor
US11217528B2 (en) 2020-04-01 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having buried power rail disposed between two fins and method of making the same
CN113299648A (zh) * 2020-06-05 2021-08-24 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11227922B2 (en) 2020-06-18 2022-01-18 International Business Machines Corporation Sloped epitaxy buried contact
US11521927B2 (en) 2020-11-10 2022-12-06 International Business Machines Corporation Buried power rail for scaled vertical transport field effect transistor
US11694968B2 (en) * 2020-11-13 2023-07-04 Samsung Electronics Co., Ltd Three dimensional integrated semiconductor architecture having alignment marks provided in a carrier substrate
CN114284267A (zh) 2020-11-13 2022-04-05 台湾积体电路制造股份有限公司 集成电路及其制造方法
US11621332B2 (en) 2021-01-14 2023-04-04 International Business Machines Corporation Wraparound contact to a buried power rail
US11915966B2 (en) 2021-06-09 2024-02-27 International Business Machines Corporation Backside power rail integration
US12002874B2 (en) 2021-07-26 2024-06-04 International Business Machines Corporation Buried power rail contact
US11804436B2 (en) 2021-09-03 2023-10-31 International Business Machines Corporation Self-aligned buried power rail cap for semiconductor devices
US11764298B2 (en) 2021-09-17 2023-09-19 International Business Machines Corporation VTFET with buried power rails
US11990412B2 (en) 2021-09-29 2024-05-21 International Business Machines Corporation Buried power rails located in a base layer including first, second, and third etch stop layers

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101615614A (zh) * 2008-06-23 2009-12-30 台湾积体电路制造股份有限公司 集成电路结构
CN102769015A (zh) * 2011-05-02 2012-11-07 台湾积体电路制造股份有限公司 在底部金属层下方带有电源轨的集成电路布局
US20130113112A1 (en) * 2010-10-26 2013-05-09 Panasonic Corporation Semiconductor device

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3231741B2 (ja) 1999-06-28 2001-11-26 エヌイーシーマイクロシステム株式会社 スタンダードセル、スタンダードセル列、スタンダードセルの配置配線装置および配置配線方法
JP2005197518A (ja) 2004-01-08 2005-07-21 Matsushita Electric Ind Co Ltd 半導体装置とセル
US8120086B2 (en) * 2008-09-30 2012-02-21 Taiwan Semiconductor Manufacturing Co., Ltd Low leakage capacitors including portions in inter-layer dielectrics
US8164190B2 (en) 2009-06-25 2012-04-24 International Business Machines Corporation Structure of power grid for semiconductor devices and method of making the same
GB201202544D0 (en) * 2012-02-14 2012-03-28 Pragmatic Printing Ltd Electronic devices
US8471316B2 (en) * 2011-09-07 2013-06-25 Omnivision Technologies, Inc. Isolation area between semiconductor devices having additional active area
US8607172B2 (en) 2011-10-06 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods of designing the same
US8723269B2 (en) 2011-12-27 2014-05-13 Leonard Richard Rockett Buried power grid designs for improved radiation hardness in CMOS technologies
US9397098B2 (en) * 2012-03-08 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET-based ESD devices and methods for forming the same
US8618607B1 (en) * 2012-07-02 2013-12-31 Globalfoundries Inc. Semiconductor devices formed on a continuous active region with an isolating conductive structure positioned between such semiconductor devices, and methods of making same
US9443839B2 (en) * 2012-11-30 2016-09-13 Enpirion, Inc. Semiconductor device including gate drivers around a periphery thereof
US9318607B2 (en) * 2013-07-12 2016-04-19 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US20150263039A1 (en) * 2014-03-12 2015-09-17 Paramjeet Singh Standard cell layout for logic gate
US10361195B2 (en) * 2014-09-04 2019-07-23 Samsung Electronics Co., Ltd. Semiconductor device with an isolation gate and method of forming
US9336348B2 (en) * 2014-09-12 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming layout design
US9412700B2 (en) * 2014-10-15 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing semiconductor device
US9547741B2 (en) * 2014-10-20 2017-01-17 Globalfoundries Inc. Methods, apparatus, and system for using filler cells in design of integrated circuit devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101615614A (zh) * 2008-06-23 2009-12-30 台湾积体电路制造股份有限公司 集成电路结构
US20130113112A1 (en) * 2010-10-26 2013-05-09 Panasonic Corporation Semiconductor device
CN102769015A (zh) * 2011-05-02 2012-11-07 台湾积体电路制造股份有限公司 在底部金属层下方带有电源轨的集成电路布局

Also Published As

Publication number Publication date
KR20170057820A (ko) 2017-05-25
TWI695470B (zh) 2020-06-01
CN106711120B (zh) 2022-03-18
TW201719835A (zh) 2017-06-01
KR102409525B1 (ko) 2022-06-15
US9570395B1 (en) 2017-02-14

Similar Documents

Publication Publication Date Title
CN106711120A (zh) 具有掩埋电源轨的半导体器件及其制造方法
US10522469B2 (en) Split rail structures located in adjacent metal layers
US6417463B1 (en) Depopulation of a ball grid array to allow via placement
CN1826670B (zh) 用于具有最小图案密度要求的半导体技术的电感和电容元件
TWI389226B (zh) 當允許信號傳導時提供互連墊之結構的支撐之方法及裝置
US10492307B2 (en) Method for forming insulating layer, method for producing electronic device, and electronic device
KR102502870B1 (ko) 표준 셀 블록용 파워 레일
JP2004214646A (ja) 低損失・高密度アレイ相互接続
TWI487123B (zh) 用於電容器的結構體及其方法
CN102956634A (zh) 集成电路芯片
DE102012103571B4 (de) Halbleiterstruktur mit Silicium-Durchkontaktierung und verringerter Elektromigration sowie Verfahren zur Herstellung einer solchen
US9059165B2 (en) Semiconductor device having mesh-pattern wirings
US10217708B1 (en) High bandwidth routing for die to die interposer and on-chip applications
US8642456B2 (en) Implementing semiconductor signal-capable capacitors with deep trench and TSV technologies
CN1856877B (zh) 互连连接结构及相关制造方法
US11406006B2 (en) Electromagnetic (EM) field rotation for interconnection between chip and circuit board
DE102019101999B4 (de) Halbleitervorrichtung mit mehreren polaritätsgruppen
DE10125594A1 (de) Halbleiterspeichereinrichtung sowie Verfahren zu deren Herstellung
TW202301599A (zh) 積體電路
KR20110077408A (ko) 반도체 소자의 금속배선 및 그 제조 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant