TW201701360A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW201701360A
TW201701360A TW104138702A TW104138702A TW201701360A TW 201701360 A TW201701360 A TW 201701360A TW 104138702 A TW104138702 A TW 104138702A TW 104138702 A TW104138702 A TW 104138702A TW 201701360 A TW201701360 A TW 201701360A
Authority
TW
Taiwan
Prior art keywords
layer
forming
germanium
dielectric constant
metal
Prior art date
Application number
TW104138702A
Other languages
English (en)
Other versions
TWI569336B (zh
Inventor
育佳 楊
葉致鍇
柯誌欣
吳政憲
陳亮吟
雄飛 于
陳燕銘
建倫 楊
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201701360A publication Critical patent/TW201701360A/zh
Application granted granted Critical
Publication of TWI569336B publication Critical patent/TWI569336B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Composite Materials (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本揭露提供一方法,包括形成矽蓋層於半導體鰭狀物上,形成界面層於矽蓋層上,形成高介電常數閘極介電物於界面層上,以及形成除氧金屬層於高介電常數閘極介電物上。接著在矽蓋層、界面層、高介電常數閘極介電、與除氧金屬層上進行回火。填充金屬層沉積於高介電常數閘極介電物上。

Description

半導體裝置的形成方法
本揭露關於半導體裝置的形成方法,更特別關於鰭狀物角落過薄造成之阻擋能力不足及鍺隔離等問題的解決方法。
當場效電晶體的閘極長度縮短,會劣化短通道效應如汲極誘發能障降低(DIBL),且增加關閉狀態的漏電流。為抑制短通道效應以降低關閉狀態的漏電流,需減少等效氧化矽厚度(EOT)。當場效電晶體之閘極長度小於20nm時,EOT需減少至小於1nm。
藉由減少已知介電常數之物理厚度縮小EOT的作法,會增加閘極漏電流密度。然而閘極漏電流密度具有特定限制而不能隨意增加。藉由採用較高介電常數之閘極介電物,可在特定閘極電容密度下增加其物理厚度,並有效抑制閘極漏電流。
在進階互補式金氧半(CMOS)技術世代中,高介電常數之閘極介電物可與金屬閘極搭配使用,以進一步縮小閘極長度並控制短通道效應。產業中的一般高介電常數閘極介電物為氧化鉿(HfO2),其介電常數大於或等於約20。HfO2通常形成於界面層如氧化矽上,且其形成方法為原子層沉積(ALD)。具有中介電常數如約10的鉿矽酸鹽(HfSixOy)亦可作為閘極介電 物。
包含高介電常數之閘極介電物於界面層上的閘極介電物堆疊,其EOT為高介電常數之介電物的EOT加上界面層之EOT。為降低閘極介電物堆疊的EOT,閘極介電物堆疊可採用介電常數大於HfO2之介電常數的閘極介電材料如氧化鑭,或介電常數大於25的其他閘極介電材料。在其他實施例中,可藉由除去界面層的氧以減少甚至消除界面層厚度。在其他降低EOT的方法中,可增加界面層的介電常數。
在上述方法中,需維持低界面態密度(比如小於或等於約1011/cm2eV)以避免劣化通道中的載子移動率,並避免劣化閘極堆疊的可信度。
本揭露一實施例提供之半導體裝置的形成方法,包括:形成矽蓋層於半導體鰭狀物上;形成界面層於矽蓋層上;形成高介電常數閘極介電物於界面層上;形成除氧金屬層於高介電常數閘極介電物上;在矽蓋層、界面層、高介電常數閘極介電物、與除氧金屬層上進行回火步驟;以及沉積填充金屬於高介電常數閘極介電物上。
本揭露一實施例提供之半導體裝置的形成方法,包括:形成結晶矽蓋層於矽鍺鰭狀物上;形成氧化矽層於結晶矽蓋層上;形成高介電常數閘極介電物於氧化矽層上;形成除氧金屬層於高介電常數閘極介電物上;自氧化矽層之底部除氧,使氧化層之底部轉換為矽層,且矽層與結晶矽蓋層連續地接合;以及在上述除氧步驟後,沉積填充金屬於高介電常數閘 極介電物上。
本揭露一實施例提供之半導體裝置的形成方法,包括:形成虛置閘極堆疊於矽鍺鰭狀物的中間部份上;形成多個源極/汲極區於矽鍺鰭狀物之相反兩側上;形成層間介電物於源極/汲極區上,且虛置閘極堆疊位於層間介電物中;移除虛置閘極堆疊以形成凹陷於層間介電物中;磊晶成長矽蓋層於凹陷中,且矽蓋層位於矽鍺鰭狀物上;沉積氧化矽層於矽蓋層上,且氧化矽層接觸矽蓋層;形成高介電常數閘極介電物於氧化矽層上;形成除氧金屬層於高介電常數閘極介電物上,其中除氧金屬層對氧之第一親和力,大於高介電常數閘極介電物中的金屬對氧之第二親和力與矽對氧之第三親和力;進行回火步驟,自氧化矽層之底部除氧並使氧化矽層之底部轉換為矽層;以及在回火步驟後,將金屬填入凹陷中。
D1‧‧‧深度
H1‧‧‧高度
OS‧‧‧偏離距離
W1‧‧‧頂部寬度
W2‧‧‧底部寬度
7B-7B‧‧‧剖線
20、420‧‧‧基板
22、22A、22B‧‧‧半導體鰭狀物
23‧‧‧矽鰭狀物
24‧‧‧隔離區
25、25A、25B、40、51、52‧‧‧凹陷
26‧‧‧矽鍺層
28‧‧‧蓋層
30‧‧‧虛置閘極介電物
32‧‧‧虛置閘極
34‧‧‧虛置閘極堆疊
35、54‧‧‧硬遮罩
38‧‧‧閘極間隔物
42‧‧‧源極/汲極區
45‧‧‧主要間隔物
46‧‧‧CESL
50‧‧‧ILD
56‧‧‧結晶矽蓋層
57‧‧‧角落
58‧‧‧界面層
59‧‧‧矽蓋層
60‧‧‧高介電常數閘極介電物
62‧‧‧除氧金屬層
64‧‧‧除氧金屬蓋層
65‧‧‧部份
66‧‧‧回火製程
67‧‧‧移動方向
70‧‧‧矽層
72‧‧‧層狀物
74‧‧‧金屬堆疊
76‧‧‧FinFET
200‧‧‧製程流程
202、204、206、208、210、212、214、216、218、220、222、224‧‧‧步驟
300‧‧‧NMOS裝置
302、404‧‧‧矽奈米線
400‧‧‧PMOS裝置
402、414‧‧‧矽鍺奈米線
312、412‧‧‧鍺奈米線
第1A-1B、2、3A-7A、3B-7B、8-11、12A-12B、13A-13B、14、15A-15B、16、17A-17C圖係某些實施例中,鰭狀物場效電晶體(FinFET)之形成方法的剖視圖與透視圖。
第18與19圖係某些實施例中,具有奈米線之金氧半場效電晶體(MOSFET)之剖視圖。
第20圖係某些實施例中,FinFET之形成製程的流程圖。
第21A至21F圖係某些實施例中,半導體鰭狀物之形成方法的剖視圖。
第22A至22G圖係某些實施例中,半導體鰭狀物之形成方 法的剖視圖。
下述內容提供的不同實施例可實施本揭露的不同結構。特定構件與排列的實施例係用以簡化本揭露而非侷限本揭露。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本揭露之多種例子中可重複標號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間具有相同標號之單元之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
多種實施例提供鰭狀物場效電晶體(FinFET)之方法,其包含除氧步驟。下述內容說明FinFET之形成方法的中間階段,並說明某些實施例的變化。在下述多種圖式與實施例中,將以類似標號標示類似單元。
第1A至17C圖係某些實施例中,FinFET之形成方法其中間階段的剖視圖與透視圖。第1A至17C圖所示之步驟亦圖示於第20圖中的製程流程200。在下述內容中,1A至17C圖之製程步驟將搭配第20圖中的製程步驟說明。
第1A與1B圖分別為積體電路結構的剖視圖與透視 圖。第1A圖係單一半導體鰭狀物22之剖視圖,其可對應第1B圖所示之多個半導體鰭狀物22。半導體鰭狀物22形成於基板20上,且半導體基板可為部份晶圓。在本揭露某些實施例中,基板20可為半導體基板,比如矽基板、鬆弛矽碳基板、鬆弛矽鍺基板、絕緣層上矽基板、或其他半導體材料形成之基板。基板20可輕掺雜p型或n型雜質。
半導體鰭狀物22凸起於相鄰之隔離區24的上表面上,且隔離區24可為淺溝槽隔離(STI)區。在某些實施例中,半導體鰭狀物22為矽鍺鰭狀物如Si1-xGex,其中x為鍺的莫爾比例(或原子%)。x可高於約0.2,高於約0.4、高於約0.6、或高達1。當x=1時,半導體鰭狀物22為鍺鰭狀物而不含矽。半導體鰭狀物22之間距(見第1B圖)可小於30nm、小於24nm、或甚至小於15nm。鰭狀物的頂部寬度W1可小於10nm、小於約8nm、或甚至小於約6nm。鰭狀物的高度H1可大於約30nm,或大於約60nm。鰭狀物的高度H1為半導體鰭狀物22的上表面至隔離區24的上表面之間的垂直距離。鰭狀物的底部寬度W2可略大於或等於鰭狀物的頂部寬度W1。半導體鰭狀物22之側壁為實質上平直且實質上垂直。
隔離區22與半導體鰭狀物22相鄰。在本揭露某些實施例中,隔離區24為氧化矽,且其沉積方法可為化學氣相沉積(CVD)。矽鍺組成之半導體鰭狀物22與下方之基板20之間的界面可偏離隔離區24的表面。舉例來說,偏離距離OS可介於約-10nm(即界面高於隔離區24之上表面)至約10nm(即界面低於隔離區24之上表面)之間。
在本揭露某些實施例中,鬆弛或部份鬆弛的矽鍺層26可作為基板20的頂部。舉例來說,第1A圖包含矽鍺層26(Si1-yGey),其中y小於半導體鰭狀物22(Si1-xGex)之x。由於x大於y,半導體鰭狀物22之原始晶格常數大於矽鍺層26之原始晶格常數,且半導體鰭狀物22可形成FinFET之通道。綜上所述,FinFET之通道(Si1-xGex)將處於壓縮應力下。
Si1-xGex之半導體鰭狀物22可與矽鰭狀物一起形成。第1B圖顯示多個Si1-xGex之半導體鰭狀物22與多個矽鰭狀物23(不含鍺)相鄰,並形成於鬆弛或部份鬆弛的矽鍺層26(Si1-yGey)上。矽鍺之半導體鰭狀物22與矽鰭狀物23可具有相同或不同的物理尺寸如頂部寬度W1、底部寬度W2、及/或高度H1(見第1A圖)。在本揭露某些實施例中,矽鍺之半導體鰭狀物22用以形成p型通道FinFET,而矽鰭狀物23用以形成n型通道FinFET。由於矽鰭狀物23形成於完全或部份鬆弛的矽鍺層26上,矽鰭狀物23在通道長度的方向中處於拉伸應力下。通道長度中的拉伸應力可增加矽中的電子遷移率,並改善n型通道FinFET之驅動電流與速度。
形成Si1-xGex之半導體鰭狀物22的製程將簡述如下。上述步驟即第20圖之製程流程中的步驟202。某些實施例中的對應步驟將圖示於第21A至21F圖中。首先如第21A圖所示,提供半導體的基板20。半導體的基板20可為矽基板、鬆弛SiGe基板(或矽基板上的鬆弛SiGe層)、或其他材料,如前所述。形成隔離區24(STI)以延伸至半導體的基板20中,如第21B圖所示。相鄰的隔離區24(STI)之間夾設窄條狀的基板20。蝕刻窄 條狀的基板使其凹陷,以形成凹陷25如第21C圖所示。凹陷25的底部高於隔離區24(STI)的下表面。接著如第21D圖所示,選擇性地磊晶成長半導體鰭狀物22於凹陷25中。當基板20為矽鍺基板或含有鬆弛矽鍺層時,半導體鰭狀物22可為矽。當基板20為矽基板時,半導體鰭狀物22可為Si1-xGex。接著使隔離區24(STI)凹陷以露出半導體鰭狀物。舉例來說,第21E圖顯示SiGe的半導體鰭狀物22位於矽的基板20上,而第21F圖顯示矽鰭狀物23位於含矽鍺的基板20上。
第22A至22G圖係某些實施例中,半導體鰭狀物22A與22B之形成方法的示意圖。如第22A圖所示,提供基板20。基板20可為基體矽基板,或可包含鬆弛之矽鍺層26(Si1-yGey)。第22B圖形成隔離區24(STI)於基板20或鬆弛之矽鍺層26(Si1-yGey)中。接著如第22C圖所示,使部份基板20或矽鍺層26凹陷,以形成凹陷25A。如第22D圖所示,磊晶形成Si1-xGex之半導體鰭狀物22A於凹陷25A中,其中半導體鰭狀物22A之x大於矽鍺層26之y。此外,在形成凹陷25A時,可同時形成凹陷25B如第22E圖所示。如第22F圖所示,磊晶形成Si1-zGez之半導體鰭狀物22B於凹陷25B中,其中半導體鰭狀物22B之z小於矽鍺層26之y。在後續製程中,使隔離區24(STI,見第22D與22F圖)凹陷,以形成第22G圖所示之結構。如此一來,半導體鰭狀物22A與22B形成於相同的基板20上。
第21A至21F圖與第22A至22G圖之步驟形成之結構,如第1A與1B圖所示。上述步驟形成之STI其深度D1(見第1A圖)可介於約200nm至約1000nm之間。
第2圖顯示鈍化SiGe的半導體鰭狀物22。上述步驟亦圖示於第20圖中製程流程的步驟204。在本揭露某些實施例中,上述鈍化步驟包含沉積蓋層28於半導體鰭狀物22上。蓋層28延伸於SiGe之半導體鰭狀物22之上表面與側壁上,並與其接觸。蓋層28保護SiGe的半導體鰭狀物22,使其免於被後續製程損傷。蓋層28可形成於SiGe之半導體鰭狀物22與矽鰭狀物23上(見第1B圖),亦可只形成於SiGe之半導體鰭狀物22而不位於矽鰭狀物23上。
在本揭露某些實施例中,蓋層28之組成為結晶矽,且其形成於SiGe之半導體鰭狀物22上的方法可為採用矽烷(SiH4)、二矽烷(Si2H6)、三矽烷(Si3H8)、或其他含矽前驅物(如高矽數矽烷)的低溫磊晶。含矽前驅物亦可含氯,比如SiH2Cl2。上述磊晶成長溫度可升溫至高於室溫(約21℃)。舉例來說,磊晶溫度可為小於或等於約500℃、小於或等於約425℃、甚至小於或等於約375℃。低成長溫度可在磊晶時最小化鍺隔離的現象,其中鍺隔離會形成不需要的鍺蓋。蓋層28之厚度可小於約1.5nm。
在本揭露某些實施例中,蓋層28為CVD沉積之非晶矽層。上述沉積溫度可小於或等於約400℃。在其他實施例中,蓋層28為介電材料如氧化矽或其他介電物,且其形成方法可為原子層沉積(ALD)。蓋層28亦可包含III-V族半導體化合物層如磷化銦鋁(InAlP)或磷化銦鎵(InGaP)。可以理解的是,蓋層28亦可包含多層結構或上述實施例所述之材料的組合。舉例來說,蓋層28可包含結晶矽上非晶矽、結晶矽上氧化矽、或結 晶矽上的非晶矽上氧化矽。
第3A與3B圖分別為形成虛置閘極堆疊34之剖視圖與透視圖。上述步驟亦圖示於第20圖中的製程流程之步驟206。在某些實施例中,虛置閘極堆疊34包含虛置閘極介電物30位於半導體鰭狀物22與矽鰭狀物23上(見第3B圖),以及虛置閘極32位於虛置閘極介電物30上。虛置閘極介電物30可為氧化矽,且虛置閘極32可為多晶矽。閘極堆疊34之形成製程可包含沉積虛置閘極介電物30與虛置閘極32,以及進行平坦化使虛置閘極32之上表面齊平。此外,虛置閘極堆疊34可包含硬遮罩35,其可為氧化矽或氮化矽。接著可進行光微影製程以圖案化虛置閘極介電物30、虛置閘極32、與硬遮罩35。綜上所述,可形成第3A與3B圖所示之結構。
如第4A、4B、5A、與5B圖所示,形成源極與汲極區。上述步驟亦圖示於第20圖中製程流程的步驟208。第4A與4B圖分別為剖視圖與透視圖。首先,形成閘極間隔物38於虛置閘極堆疊34之兩側上,其形成製程包含毯覆性地沉積,接著乾蝕刻。閘極間隔物38可包含氮化矽、碳化矽、氮氧化矽、氧化矽、上述之組合、或上述之多層結構。
在形成閘極間隔物38後,蝕刻露出的半導體鰭狀物22使其凹陷,以形成凹陷40。上述結構亦圖示於第4A與4B圖中。接著如第5A與5B圖所示,形成源極/汲極區42。第5A與5B圖分別為剖視圖與透視圖。在本揭露某些實施例中,形成p型通道FinFET之源極/汲極區42之製程,與形成n型通道FinFET之源極/汲極區(未圖示,但與源極/汲極區42類似)之製程為分 開的製程。在磊晶源極/汲極區42時,p型雜質可臨場掺雜於磊晶製程。在磊晶製程後可視情況(非必要)進行離子佈植,將p型雜質或其他改良接點電阻(如釔、鋁、錫、或類似物)之掺質導入p型通道FinFET之源極/汲極區42中。
類似地,在磊晶形成n型通道FinFET之源極/汲極區時,可臨場掺雜n型雜質於磊晶製程。在磊晶製程後可視情況(非必要)進行離子佈植,將n型雜質或其他改良接點電阻(如釔、鋁、或類似物)之掺質導入n型通道FinFET之源極/汲極區中。
接著可進行源極/汲極掺質活化回火,比如快速熱回火(RTA)、微秒回火(MSA)、尖峰回火、雷射回火(LSA)、或其他回火技術。
如第6A、6B、7A、與7B圖所示之本揭露的某些實施例,形成源極/汲極區42與n型通道FinFET之源極/汲極區。這些實施例與前述之第4A、4B、5A、與5B圖類似,除了在磊晶前先薄化半導體鰭狀物22與矽鰭狀物23(見第6B圖),而非完全蝕刻半導體鰭狀物22與矽鰭狀物23。在薄化製程中,稍微橫向移除半導體鰭狀物22。舉例來說,原本的鰭狀物寬度若為6nm,則鰭狀物之寬度可由兩側縮短約1nm至約2nm。在薄化半導體鰭狀物22與矽鰭狀物23後,可進行源極/汲極區之磊晶製程以完成源極/汲極區42與n型通道FinFET之源極/汲極區。上述磊晶製程的細節與第5A及5B圖之內容類似。第7B圖係第7A圖中剖線7B-7B的垂直平面之剖視圖。
在某些實施例中,形成主要間隔物45如第8圖所 示。主要間隔物45之形成方法可包含形成一或多個毯覆性的介電層如氧化矽、氮化矽、或類似物,在蝕刻毯覆性的介電層以移除其水平部份。毯覆性的介電層其保留的垂直部份即主要間隔物45。
如第8圖所示,沉積CESL(接點蝕刻停止層)46。CESL可包含氮化矽,其本質應力大於或等於約1GPa。在本揭露某些實施例中,亦可採用本質應力大於1GPa的其他介電材料。上述本質應力對p型通道FinFET屬壓縮應力,但對n型通道FinFET屬拉伸應力。
接著形成ILD(層間介電物)50,其形成製程可包含沉積可流動的CVD介電物,再進行熱硬化或紫外線硬化以形成氧化矽。接著可進行平坦化製程以平坦化ILD 50的上表面。上述製程形成之結構如第9圖所示。接著使ILD 50稍微凹陷以形成凹陷52,如第10圖所示。在後續製程步驟中,沉積硬遮罩54如第11圖所示,再進行平坦化步驟如第12A圖所示。硬遮罩54可包含氮化矽,可在後續製程步驟中保護ILD 50與下方結構。
第12B圖係第12A圖中部份結構的透視圖。如第12A與12B圖所示,虛置閘極堆疊34覆蓋半導體鰭狀物22。
第13A至17C圖係置換閘極製程之剖視圖與透視圖,可將第12A與12B圖所示之虛置閘極堆疊34置換為置換閘極。在下述內容中,將以p型通道FinFET之置換閘極製程為例,其概念明顯可應用於n型通道FinFET之置換閘極製程。
第13A與13B圖分別為剖視圖與透視圖,其移除第 12A與12B圖中的虛置閘極堆疊34,因此形成凹陷51。上述步驟亦圖示於第20圖中製程流程的步驟210。首先,蝕刻移除第3A圖中的虛置閘極32與虛置閘極介電物30。綜上所述,將露出第3A圖中的蓋層28。在蓋層28為結晶矽層的實施例中,可移除或保留蓋層28。在蓋層28不是結晶矽層的實施例中,將移除蓋層28。上述步驟亦圖示於第20圖中製程流程的步驟212。移除蓋層28的有利於移除蓋層28中的任何污染。
接著磊晶成長結晶矽蓋層56。上述步驟亦圖示於第20圖中製程流程的步驟214。具有蓋層之上述結構如第14圖所示,其矽蓋層59可只有結晶矽的蓋層28、只有結晶矽蓋層56、或結晶矽的蓋層28與其上之結晶矽蓋層56。矽蓋層59之厚度可介於1原子層(約0.136nm)至約20原子層(約2.7nm)之間。在某些實施例中,矽蓋層59之厚度小於10原子層(約1.36nm)。
在某些實施例中,矽蓋層59係磊晶成長於閘極置換製程中,其可成長於p型通道裝置之矽鍺的半導體鰭狀物22與n型通道裝置(見第3B圖)之矽鰭狀物23上。在其他實施例中,矽蓋層59可成長於矽鍺的半導體鰭狀物22上,但不成長於矽鰭狀物23上,其中硬遮罩如氧化矽覆蓋矽鰭狀物23以避免矽蓋層59磊晶形成其上。
矽蓋層59有利於阻擋矽鍺之半導體鰭狀物22中的鍺原子向外擴散至後續形成的高介電常數材料,可避免形成不需要的化合物。此外,矽蓋層59亦避免鍺與後續形成之界面層(如氧化矽)中的氧反應,可避免形成不需要的氧化鍺。然而如第14圖所示,矽蓋層59之角落57可能比其他位置的矽蓋層 59(如矽蓋層59之垂直部份與水平部份)薄太多。這會降低矽蓋層59的阻擋能力。第15A、15B、與16圖顯示除氧製程,以增加矽蓋層其角落57之厚度。
在形成第14圖中的結構後,進行表面清潔(比如採用稀HF溶液)。接著如第15A圖所示,形成界面層58於矽蓋層59上。上述步驟亦圖示於第20圖中製程流程的步驟216。界面層58可為氧化矽,其形成方法可為電漿增強原子層沉積。在其他實施例中,界面層58之形成方法可為CVD、採用水蒸汽或氧的熱氧化、或採用氧化劑如雙氧水或臭氧的氣相/液相化學氧化。界面層58之厚度可小於1nm。
接著形成高介電常數閘極介電物60於界面層58上。上述步驟亦圖示於第20圖中製程流程的步驟216。在本揭露某些實施例中,高介電常數閘極介電物60可為氧化鉿(HfO2),且其形成方法可為ALD。高介電常數閘極介電物60亦可為氧化鋯(ZrO2)、氧化鑭(La2O3)、氧化鈦(TiO2)、氧化釔(Y2O3)、氧化鍶鈦(SrTiO3)、或上述之組合。高介電常數閘極介電物60之物理厚度可介於約1.0nm至約10nm之間。
除氧金屬層62沉積於高介電常數閘極介電物60上。上述步驟亦圖示於第20圖中製程流程的步驟218。除氧金屬層62對氧的親和力,高於介電常數閘極介電物60中金屬氧化物的金屬與界面層58中的矽對氧的親和力。除氧金屬層62可包含金屬或金屬化合物如Ti、Hf、Zr、Ta、Al、TiN、TaN、TaSiN、TiSiN、或上述之組合如TiAl。除氧金屬層62亦可為金屬氮化物如TiN或TaN,亦可為金屬合金之氮化物如TiAlN。除氧金屬 層62之沉積方法包含物理氣相沉積、CVD、或ALD。除氧金屬層62可在升溫時除去界面層58中的氧。
在本揭露某些實施例中,除氧金屬蓋層64形成於除氧金屬層62之頂部上,可在後續之除氧回火之前、之中、或之後避免氧化除氧金屬層62。除氧金屬蓋層64可包含另一金屬或金屬化合物如TiN、TiSiN、TaN、或TaSiN。在其他實施例中,除氧金屬蓋層64為矽層。除氧金屬蓋層64與除氧金屬層62可為不同材料,不過他們在某些材料上的選擇可能相同。在又一實施例中,可省略除氧金屬蓋層。
接著可進行除氧回火製程66(見第15A圖),以開始進行除氧。上述步驟亦圖示於第20圖中製程流程的步驟218。除氧回火的方法可為尖峰回火,歷時微秒等級的時間(比如介於約10微秒至約500微秒之間)。個別晶圓的溫度可介於約400℃至約1100℃之間。在某些實施例中,溫度可介於約700℃至約1000℃之間。
除氧製程可化學地縮減界面層58,即減少界面層58之厚度或完全消除(完全轉換)界面層58。除氧製程至少由界面層58之底部剝奪氧,因此保留界面層58中的矽作為矽蓋層59之頂部上的額外矽層。第15B圖係第15A圖中部份65之放大圖。由於除氧步驟,第15B圖中氧原子具有移動方向67。綜上所述,形成結晶(或多晶/非晶矽層)的矽層70如第16圖所示,其包含矽蓋層59與其頂部上的額外矽層。額外矽層係除去界面層58之底部的氧後,界面層58其保留的矽層。除氧製程後可保留界面層58之中間部份,但也可不保留界面層58。在第16圖中的 結構,保留的界面層58以虛線表示,因其可能存在或不存在於除氧回火後。
位於界面層58下之矽蓋層59有利於除氧後形成矽層,並改良矽層的厚度一致性。磊晶成長的矽蓋層59其角落57可能過薄,且角落57的鍺隔離可能很嚴重。在本揭露某些實施例中,除氧製程可增加矽層厚度,因此改善矽蓋層的阻擋能力。特別的是,矽蓋層其角落57之厚度增加可明顯改善矽蓋層的阻擋能力,並降低角落57的鍺隔離。
在除氧回火製程中,高介電常數介電物60可與界面層58之頂部與自界面層58之底部除去的氧混合,以形成混合化合物如金屬矽酸鹽。層狀物72指的是混合化合物與保留的高介電常數介電物60(若有任何高介電常數介電物60存在),其具有增加的氧含量。舉例來說,當高介電常數介電物60包含HfO2,層狀物72如混合化合物包含鉿矽酸鹽(HfSiO4)。當高介電常數介電物60包含ZrO2,層狀物72如混合化合物包含鋯矽酸鹽(ZrSiO4)。
在除氧製程後,可蝕刻移除除氧金屬蓋層64。除氧金屬層62亦可移除,但亦可保留。上述步驟亦圖示於第20圖中製程流程的步驟220。在鰭狀物間距非常小的實施例中(比如小於約24nm),較傾向移除除氧金屬層62以改良後續的金屬填充。在其他實施例中,則不移除除氧金屬層62。
接著如地17A、17B、與17C圖所示,進行金屬填充製程以形成置換的金屬堆疊74。上述步驟亦圖示於第20圖中製程流程的步驟222。依最後形成FinFET種類,分別形成用於n 型通道電晶體的n型金屬堆疊與用於p型通道電晶體的p型金屬堆疊,以最佳化n型通道與p型通道FinFET之電性效能。金屬堆疊74可包含功函數層、阻障層、與填充金屬層(未圖示)。n型金屬功函數層包括之金屬具有足夠低的有效功函數,包含但不限於鈦、鋁、碳化鉭、碳氮化鉭、氮化鉭矽、或上述之組合。p型金屬功函數層包括之金屬具有足夠高的有效功函數,包含但不限於氮化鈦、氮化鉭、釕、鉬、鎢、鉑、或上述之組合。填充金屬層可包含鋁、鎢、銅、或其他導電金屬、接著進行化學機械拋光平坦化多種金屬層,可提供實質上平坦的表面以形成多層內連線。第17A、17B、與17C圖分別顯示上述步驟形成之FinFET 76其於通道寬度方向的剖視圖、其透視圖、以及其於通道長度方向的剖視圖。接著可形成接點插塞(未圖示)。上述步驟亦圖示於第20圖中製程流程的步驟224。
第18與19圖顯示本揭露教示可實施之兩種結構。在第18圖中,NMOS裝置300包含多個矽奈米線302,其可用於形成全環繞式閘極電晶體。必需注意的是雖然圖示中的奈米線302看似懸浮,但實際上其相反兩端上各自有支撐(未圖示)。PMOS裝置400包含交替堆疊的矽鍺奈米線402與矽奈米線404,且矽奈米線404之寬度小於矽鍺奈米線402之寬度。矽奈米線302、矽鍺奈米線402、與矽奈米線404形成於矽的基板20上。
在第19圖中,NMOS裝置300包含多個鍺奈米線312(可不含矽),其可用於形成全環繞式閘極電晶體。PMOS裝置400可包含交替堆疊的鍺奈米線412與矽鍺奈米線414,且矽鍺 奈米線414之寬度小於鍺奈米線412之寬度。鍺奈米線312、鍺奈米線412、與矽鍺奈米線414形成於矽鍺的基板420上。
如第18與19圖所示,奈米線其多個角落可能遭遇矽蓋層過薄與鍺隔離等問題。綜上所述,可採用本揭露概念中第16圖之之矽層70,以本揭露之方法形成矽層70包覆矽奈米線302、矽鍺奈米線402、與矽奈米線404(見第18圖)與鍺奈米線312、鍺奈米線412、與矽鍺奈米線414(見第19圖)。
本揭露實施例具有某些優點。除氧可增加已存之結晶矽層的厚度,比如增加矽層於角落部份之薄層厚度,並避免鍺隔離問題。
在本揭露某些實施例中,方法包括:形成矽蓋層於半導體鰭狀物上;形成界面層於矽蓋層上;形成高介電常數閘極介電物於界面層上;以及形成除氧金屬層於高介電常數閘極介電物上。在矽蓋層、界面層、高介電常數閘極介電物、與除氧金屬層上進行回火步驟。沉積填充金屬於高介電常數閘極介電物上。
在本揭露其他實施例中,方法包括:形成結晶矽蓋層於矽鍺鰭狀物上;形成氧化矽層於結晶矽蓋層上;形成高介電常數閘極介電物於氧化矽層上;形成除氧金屬層於高介電常數閘極介電物上;以及自氧化矽層之底部除氧,使氧化層之底部轉換為矽層,且矽層與結晶矽蓋層連續地接合。在上述除氧步驟後,沉積填充金屬於高介電常數閘極介電物上。
在本揭露又一實施例中,方法包括:形成虛置閘極堆疊於矽鍺鰭狀物的中間部份上;形成多個源極/汲極區於 矽鍺鰭狀物之相反兩側上;形成層間介電物於源極/汲極區上,且虛置閘極堆疊位於層間介電物中;移除虛置閘極堆疊以形成凹陷於層間介電物中;以及磊晶成長矽蓋層於凹陷中,且矽蓋層位於矽鍺鰭狀物上。沉積氧化矽層於矽蓋層上,且氧化矽層接觸矽蓋層。形成高介電常數閘極介電物於氧化矽層上。形成除氧金屬層於高介電常數閘極介電物上。除氧金屬層對氧之第一親和力,大於高介電常數閘極介電物中的金屬對氧之第二親和力與矽對氧之第三親和力。進行回火步驟,自氧化矽層之底部除氧並使氧化矽層之底部轉換為矽層。在回火步驟後,將金屬填入凹陷中。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本揭露。本技術領域中具有通常知識者應理解可採用本揭露作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本揭露精神與範疇,並可在未脫離本揭露之精神與範疇的前提下進行改變、替換、或更動。
58‧‧‧界面層
59‧‧‧矽蓋層
60‧‧‧高介電常數閘極介電物
62‧‧‧除氧金屬層
64‧‧‧除氧金屬蓋層
65‧‧‧部份
67‧‧‧移動方向

Claims (10)

  1. 一種半導體裝置的形成方法,包括:形成一矽蓋層於一半導體鰭狀物上;形成一界面層於該矽蓋層上;形成一高介電常數閘極介電物於該界面層上;形成一除氧金屬層於該高介電常數閘極介電物上;在該矽蓋層、該界面層、該高介電常數閘極介電物、與該除氧金屬層上進行一回火步驟;以及沉積一填充金屬於該高介電常數閘極介電物上。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該回火步驟自該界面層之底部除氧,使該界面層之底部轉換成矽層。
  3. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中形成該矽蓋層之步驟包括沉積不含鍺的一結晶矽層。
  4. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括:在該回火步驟前,先沉積一除氧金屬蓋層於該除氧金屬層上,且該除氧金屬蓋層與該除氧金屬層之材料不同。
  5. 如申請專利範圍第4項所述之半導體裝置的形成方法,更包括:在該回火步驟後,移除該除氧金屬蓋層。
  6. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該回火步驟後的該界面層包括:一頂部,與該高介電常數閘極介電物混合以形成一化合物 層;以及一底部,轉換成一矽層,且該矽層與該化合物層彼此接觸。
  7. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該回火步驟後的該界面層包括:一頂部,與該高介電常數閘極介電物混合以形成一化合物層;一底部,轉換成一矽層;以及一中間部,位於該矽層與該化合物層之間並接觸該矽層與該化合物層。
  8. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該回火步驟包括尖峰回火。
  9. 一種半導體裝置的形成方法,包括:形成一結晶矽蓋層於一矽鍺鰭狀物上;形成一氧化矽層於該結晶矽蓋層上;形成一高介電常數閘極介電物於該氧化矽層上;形成一除氧金屬層於該高介電常數閘極介電物上;自該氧化矽層之底部除氧,使該氧化層之底部轉換為矽層,且該矽層與該結晶矽蓋層連續地接合;以及在上述除氧步驟後,沉積一填充金屬於該高介電常數閘極介電物上。
  10. 一種半導體裝置的形成方法,包括:形成一虛置閘極堆疊於一矽鍺鰭狀物的中間部份上;形成多個源極/汲極區於該矽鍺鰭狀物之相反兩側上;形成一層間介電物於該些源極/汲極區上,且該虛置閘極堆 疊位於該層間介電物中;移除該虛置閘極堆疊以形成一凹陷於該層間介電物中;磊晶成長一矽蓋層於該凹陷中,且該矽蓋層位於該矽鍺鰭狀物上;沉積一氧化矽層於該矽蓋層上,且該氧化矽層接觸該矽蓋層;形成一高介電常數閘極介電物於該氧化矽層上;形成一除氧金屬層於該高介電常數閘極介電物上,其中該除氧金屬層對氧之第一親和力,大於該高介電常數閘極介電物中的金屬對氧之第二親和力與矽對氧之第三親和力;進行一回火步驟,自該氧化矽層之底部除氧並使該氧化矽層之底部轉換為矽層;以及在該回火步驟後,將一金屬填入該凹陷中。
TW104138702A 2015-06-29 2015-11-23 半導體裝置的形成方法 TWI569336B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/753,916 US9564489B2 (en) 2015-06-29 2015-06-29 Multiple gate field-effect transistors having oxygen-scavenged gate stack

Publications (2)

Publication Number Publication Date
TW201701360A true TW201701360A (zh) 2017-01-01
TWI569336B TWI569336B (zh) 2017-02-01

Family

ID=57601387

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104138702A TWI569336B (zh) 2015-06-29 2015-11-23 半導體裝置的形成方法

Country Status (4)

Country Link
US (3) US9564489B2 (zh)
KR (1) KR101769214B1 (zh)
CN (1) CN106298540B (zh)
TW (1) TWI569336B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11257921B2 (en) 2019-04-18 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10020304B2 (en) * 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9917154B2 (en) 2016-06-29 2018-03-13 International Business Machines Corporation Strained and unstrained semiconductor device features formed on the same substrate
US10164066B2 (en) 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
KR102238444B1 (ko) * 2017-03-13 2021-04-09 삼성전자주식회사 반도체 장치 및 그 제조 방법
DE112017007850T5 (de) * 2017-09-27 2020-04-30 Intel Corporation Epitaktische Oxidstopfen für gedehnte Transistoren
US10403545B2 (en) 2017-09-28 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Power reduction in finFET structures
US10535736B2 (en) 2017-09-28 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fully strained channel
US10325912B2 (en) 2017-10-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US10269576B1 (en) 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
US10515948B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including vertical routing structure and method for manufacturing the same
US10680106B2 (en) 2017-11-15 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain epitaxial stacks
US10355102B2 (en) 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10483168B2 (en) 2017-11-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and formation thereof
US10867809B2 (en) 2017-11-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Laser anneal process
US11183423B2 (en) 2017-11-28 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Liner structure in interlayer dielectric structure for semiconductor devices
US10510883B2 (en) 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Asymmetric source and drain structures in semiconductor devices
US10510889B2 (en) 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. P-type strained channel in a fin field effect transistor (FinFET) device
US11114549B2 (en) 2017-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US10629749B2 (en) * 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
US10748774B2 (en) 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
WO2020081040A2 (en) 2017-12-26 2020-04-23 Intel Corporation Switching device having gate stack with low oxide growth
US10749007B2 (en) 2018-03-14 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with desired profile for semiconductor devices
US10763363B2 (en) 2018-04-10 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gradient doped region of recessed fin forming a FinFET device
US10854715B2 (en) 2018-04-13 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Supportive layer in source/drains of FinFET devices
US11329136B2 (en) * 2018-06-01 2022-05-10 International Business Machines Corporation Enabling anneal for reliability improvement and multi-Vt with interfacial layer regrowth suppression
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US11011623B2 (en) 2018-06-29 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for increasing germanium concentration of FIN and resulting semiconductor device
US11437497B2 (en) 2018-06-29 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11227918B2 (en) 2018-07-31 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Melt anneal source and drain regions
US11114303B2 (en) 2018-07-31 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device, method for manufacturing FinFET device, and method for manufacturing gate all around device
US10672910B2 (en) 2018-08-09 2020-06-02 International Business Machines Corporation Threshold voltage adjustment from oxygen vacancy by scavenge metal filling at gate cut (CT)
US11211479B2 (en) 2018-08-14 2021-12-28 Taiwan Semiconductor Manufaciuring Co., Ltd. Method of fabricating trimmed fin and fin structure
US10867862B2 (en) 2018-08-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor method and device
TWI753297B (zh) * 2018-09-03 2022-01-21 美商應用材料股份有限公司 形成含矽層的方法
US11018012B2 (en) 2018-09-21 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures with deposited silicide layers
US11094826B2 (en) 2018-09-27 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10840152B2 (en) 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10770302B2 (en) * 2018-09-27 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor FinFET device and method
US11264237B2 (en) 2018-09-28 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of epitaxy and semiconductor device
US11069812B2 (en) * 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11501999B2 (en) * 2018-09-28 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt fill for gate structures
US10872906B2 (en) 2018-10-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10868114B2 (en) 2018-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures of semiconductor devices
US11087987B2 (en) 2018-10-31 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11257928B2 (en) 2018-11-27 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial growth and device
US11271094B2 (en) * 2018-11-29 2022-03-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
KR20200066157A (ko) * 2018-11-29 2020-06-09 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 구조체 및 그 제조 방법
US11685015B2 (en) 2019-01-28 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for performing chemical mechanical polishing
US11217526B2 (en) 2019-02-28 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source resistor and manufacturing method thereof
KR102612404B1 (ko) 2019-03-08 2023-12-13 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US11088034B2 (en) 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11183574B2 (en) 2019-05-24 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Work function layers for transistor gate electrodes
US11107923B2 (en) 2019-06-14 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions of FinFET devices and methods of forming same
US11682665B2 (en) 2019-06-19 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor layout with different row heights
KR20200145974A (ko) 2019-06-21 2020-12-31 삼성전자주식회사 반도체 소자 및 그 제조 방법
US11373870B2 (en) 2019-06-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device including performing thermal treatment on germanium layer
CN110349915B (zh) * 2019-07-12 2021-07-30 中国科学院微电子研究所 一种半导体器件制备方法及制备得到的半导体器件
US10978344B2 (en) 2019-08-23 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Melting laser anneal of epitaxy regions
US10978567B2 (en) * 2019-09-17 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate stack treatment for ferroelectric transistors
US11114547B2 (en) 2019-09-17 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor with negative capacitance dieletric structures
US11296227B2 (en) 2019-10-16 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11374090B2 (en) 2019-10-31 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US10964792B1 (en) 2019-11-22 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal capped via contact structures for semiconductor devices
CN110993603A (zh) * 2019-12-09 2020-04-10 中国科学院微电子研究所 半导体结构及其形成方法
US11309419B2 (en) 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11521969B2 (en) 2020-01-29 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures for semiconductor devices
US11417764B2 (en) 2020-01-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interface profile control in epitaxial structures for semiconductor devices
US11245028B2 (en) 2020-01-30 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures of semiconductor devices
US11705372B2 (en) 2020-02-11 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin loss prevention
US11177180B2 (en) * 2020-02-11 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Profile control of a gap fill structure
US11233119B2 (en) 2020-03-02 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Core-shell nanostructures for semiconductor devices
US11233149B2 (en) 2020-03-03 2022-01-25 Taiwan Semiconductor Manufacturing Co., . Ltd. Spacer structures for semiconductor devices
US11695055B2 (en) 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US11677013B2 (en) 2020-03-30 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial layers for transistors
US11107736B1 (en) 2020-03-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11257911B2 (en) 2020-04-01 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Sacrificial layer for semiconductor process
US11189697B2 (en) 2020-04-01 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra-thin fin structure and method of fabricating the same
US11139432B1 (en) 2020-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming a FinFET device
US11538926B2 (en) 2020-04-01 2022-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing a semiconductor device
US11295989B2 (en) 2020-05-26 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11444198B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Work function control in gate structures
US11424347B2 (en) 2020-06-11 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11417571B2 (en) 2020-06-12 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dopant profile control in gate structures for semiconductor devices
US11296095B2 (en) 2020-06-12 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for forming the same
US11264513B2 (en) 2020-06-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures for transistors
US11848238B2 (en) 2020-06-30 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for manufacturing semiconductor devices with tunable low-k inner air spacers
US11437371B2 (en) 2020-07-10 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with negative capacitance layers
US11245023B1 (en) * 2020-07-31 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11610979B2 (en) 2020-08-13 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Profile control in forming epitaxy regions for transistors
US11335606B2 (en) 2020-08-19 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Power rails for stacked semiconductor device
US11569234B2 (en) * 2020-09-21 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11721593B2 (en) 2020-09-30 2023-08-08 Tiawan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial structures for semiconductor devices
US11450743B2 (en) 2020-10-21 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device with implantation of impurities at high temperature
US11495463B2 (en) 2020-10-27 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11276604B1 (en) 2020-10-27 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Radical-activated etching of metal oxides
US11854831B2 (en) 2020-11-24 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Cleaning process for source/drain epitaxial structures
US11777014B2 (en) 2021-01-04 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Controlled doping in a gate dielectric layer
US11948939B2 (en) 2021-01-13 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Profile control of gate structures in semiconductor devices
US11600703B2 (en) 2021-01-29 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium tin gate-all-around device
US11848209B2 (en) 2021-02-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning semiconductor devices and structures resulting therefrom
US11387109B1 (en) 2021-03-05 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. CMP process and methods thereof
US11942358B2 (en) 2021-03-12 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low thermal budget dielectric for semiconductor devices
US11575026B2 (en) 2021-03-19 2023-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure for semiconductor device
US11961884B2 (en) 2021-03-31 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fill structures with air gaps
US11929287B2 (en) 2021-04-23 2024-03-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric liner for field effect transistors
US11869892B2 (en) 2021-04-29 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11476342B1 (en) 2021-05-05 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with improved source and drain contact area and methods of fabrication thereof
US11901415B2 (en) 2021-05-28 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor isolation structures
US11869954B2 (en) 2021-05-28 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Nanostructured channel regions for semiconductor devices
US11908921B2 (en) 2021-08-26 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor isolation structures
US11894276B2 (en) * 2021-08-30 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having various gate oxide thicknesses and methods of forming the same
US11920254B2 (en) 2021-08-30 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Detection of contact formation between a substrate and contact pins in an electroplating system
US11901412B2 (en) 2021-08-30 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Facet-free epitaxial structures for semiconductor devices
US11688625B2 (en) * 2021-08-30 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
US11948840B2 (en) * 2021-08-31 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Protective layer over FinFET and method of forming same
US11942533B2 (en) 2021-08-31 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Channel structures for semiconductor devices
US11749570B2 (en) 2021-08-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch monitoring and performing

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7517764B2 (en) 2006-06-29 2009-04-14 International Business Machines Corporation Bulk FinFET device
US7855411B2 (en) 2007-05-25 2010-12-21 Macronix International Co., Ltd. Memory cell
US7838366B2 (en) * 2008-04-11 2010-11-23 United Microelectronics Corp. Method for fabricating a metal gate structure
US7951664B2 (en) * 2009-06-05 2011-05-31 Infineon Technologies Ag Methods of manufacturing resistors and structures thereof
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8445340B2 (en) 2009-11-19 2013-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Sacrificial offset protection film for a FinFET device
KR101811316B1 (ko) * 2010-08-20 2017-12-27 삼성전자주식회사 반도체 소자 및 그 제조 방법
FR2965661A1 (fr) 2010-10-04 2012-04-06 St Microelectronics Crolles 2 Procédé de fabrication de transistors mos a différents types d'empilements de grilles
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US20120280288A1 (en) 2011-05-04 2012-11-08 International Business Machines Corporation Inversion thickness reduction in high-k gate stacks formed by replacement gate processes
US8597995B2 (en) 2011-09-24 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate device with low temperature oxygen scavenging
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US20130256802A1 (en) 2012-03-27 2013-10-03 International Business Machines Corporation Replacement Gate With Reduced Gate Leakage Current
US20130277766A1 (en) * 2012-04-23 2013-10-24 Globalfoundries Inc. Multiple high-k metal gate stacks in a field effect transistor
US8987080B2 (en) * 2012-04-26 2015-03-24 Applied Materials, Inc. Methods for manufacturing metal gates
US9041115B2 (en) * 2012-05-03 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for FinFETs
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8772116B2 (en) 2012-11-20 2014-07-08 International Business Machines Corporation Dielectric equivalent thickness and capacitance scaling for semiconductor devices
CN103915316B (zh) * 2013-01-09 2018-07-27 中国科学院微电子研究所 堆叠纳米线制造方法
US9159824B2 (en) * 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9087902B2 (en) 2013-02-27 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9099393B2 (en) 2013-08-05 2015-08-04 International Business Machines Corporation Enabling enhanced reliability and mobility for replacement gate planar and FinFET structures
US9530776B2 (en) * 2014-01-17 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET semiconductor device with germanium diffusion over silicon fins
US9343357B2 (en) 2014-02-28 2016-05-17 Qualcomm Incorporated Selective conductive barrier layer formation
US9893183B2 (en) * 2014-07-10 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9793273B2 (en) * 2014-07-18 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-based semiconductor device including a metal gate diffusion break structure with a conformal dielectric layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11257921B2 (en) 2019-04-18 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
TWI764132B (zh) * 2019-04-18 2022-05-11 台灣積體電路製造股份有限公司 半導體裝置及其製造方法

Also Published As

Publication number Publication date
TWI569336B (zh) 2017-02-01
CN106298540A (zh) 2017-01-04
US9564489B2 (en) 2017-02-07
US10263091B2 (en) 2019-04-16
US9659780B2 (en) 2017-05-23
KR101769214B1 (ko) 2017-08-17
KR20170002265A (ko) 2017-01-06
US20160380056A1 (en) 2016-12-29
CN106298540B (zh) 2019-06-11
US20170092732A1 (en) 2017-03-30
US20160379831A1 (en) 2016-12-29

Similar Documents

Publication Publication Date Title
TWI569336B (zh) 半導體裝置的形成方法
US9978868B2 (en) Negative capacitance field effect transistor with charged dielectric material
TWI508149B (zh) 具有奈米線與鰭式場效電晶體之積體電路裝置與製造方法
US9196613B2 (en) Stress inducing contact metal in FinFET CMOS
US9142649B2 (en) Semiconductor structure with metal gate and method of fabricating the same
CN108122909A (zh) 半导体器件及其制造方法
KR102429611B1 (ko) 반도체 장치 제조 방법
KR101757521B1 (ko) 반도체 구조물 및 그 제조 방법
US10211309B2 (en) Method and device for metal gate stacks
US9343372B1 (en) Metal stack for reduced gate resistance
CN104241361A (zh) 利用应变技术的半导体器件
TW201340280A (zh) 半導體元件的接觸結構、金氧半場效電晶體、與製作半導體元件的方法
US11387149B2 (en) Semiconductor device and method for forming gate structure thereof
TWI729054B (zh) 半導體元件、鰭式場效電晶體元件及其形成方法
CN106549061A (zh) 半导体器件及其制造方法
TWI760990B (zh) 半導體裝置及其製造方法
CN112331648A (zh) 半导体部件及其制造方法
JP2010080674A (ja) 半導体装置、およびその製造方法
TWI511205B (zh) 半導體積體電路的形成方法
TWI769871B (zh) 半導體元件及其製造方法
US11257932B2 (en) Fin field effect transistor device structure and method for forming the same
TWI518790B (zh) 半導體裝置及其製作方法
TWI582839B (zh) 金屬閘極結構及其製作方法
TW202404031A (zh) 半導體裝置
TW202343578A (zh) 半導體裝置及其形成方法