TW201626497A - 用於高溫處理之靜電吸座組件 - Google Patents

用於高溫處理之靜電吸座組件 Download PDF

Info

Publication number
TW201626497A
TW201626497A TW104133552A TW104133552A TW201626497A TW 201626497 A TW201626497 A TW 201626497A TW 104133552 A TW104133552 A TW 104133552A TW 104133552 A TW104133552 A TW 104133552A TW 201626497 A TW201626497 A TW 201626497A
Authority
TW
Taiwan
Prior art keywords
plate
cooling plate
electrostatic chuck
chuck assembly
fasteners
Prior art date
Application number
TW104133552A
Other languages
English (en)
Other versions
TWI660453B (zh
Inventor
帕克維傑D
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201626497A publication Critical patent/TW201626497A/zh
Application granted granted Critical
Publication of TWI660453B publication Critical patent/TWI660453B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種靜電吸座組件包括定位盤與冷卻板。定位盤包括電性絕緣的上定位盤板,上定位盤板包括一或更多個加熱元件與一或更多個電極,以靜電固定基板,且定位盤進一步包括下定位盤板,下定位盤板藉由金屬接合而接合至上定位盤板,下定位盤板包括複數個特徵,複數個特徵係分佈於下定位盤板的底側之上、在離下定位盤板的中心之複數個不同的距離處,其中複數個特徵的每一者容納複數個緊固件的一者。冷卻板藉由複數個緊固件而耦接至定位盤,其中複數個緊固件各自施加大約相等的緊固力,以耦接冷卻板至定位盤。

Description

用於高溫處理之靜電吸座組件
本發明的一些實施例大體上係關於可用於高溫處理的基板支撐組件(也稱為靜電吸座組件)。
靜電吸座在用於各種應用(例如,物理氣相沉積、蝕刻、或化學氣相沉積)的處理腔室中在基板處理期間廣泛地用於固持基板,例如半導體晶圓。靜電吸座一般包括嵌入於單一吸座主體內的一或更多個電極,吸座主體包括介電質的或半導電的陶瓷材料,橫越該材料可產生靜電夾持場。
靜電吸座提供優於機械夾持裝置與真空吸座的數個優點。例如,靜電吸座減少由機械夾持所導致之應力引致的裂縫,允許基板的較大區域曝露來用於處理(幾乎沒有或沒有排除邊緣),並且可用於低壓或高真空環境中。此外,靜電吸座可較均勻地固持基板至吸持表面,以允許更大程度地控制基板溫度。
用於積體電路的製造中的各種處理會需要用於基板處理的高溫及/或廣溫度範圍。但是,蝕刻處理中的靜電吸座一般操作在高達大約120℃的溫度範圍。在高於大約120℃的溫度時,許多靜電吸座的元件將因為 各種問題而開始故障,例如,AlO靜電吸座的無法吸持、來自腐蝕性化學品的電漿腐蝕、接合的可靠度等。
本文所述的本發明的一些實施例涵蓋一種靜電吸座組件,靜電吸座組件包括定位盤,定位盤具有電性絕緣的上定位盤板與下定位盤板,上定位盤板包括一或更多個加熱元件與一或更多個電極,以靜電固定基板,且下定位盤板藉由金屬接合而接合至上定位盤板。下定位盤板包括複數個特徵,複數個特徵係分佈於下定位盤板的底側之上、在離下定位盤板的中心之不同的距離處,其中每一特徵容納一緊固件。靜電吸座組件進一步包括冷卻板,冷卻板藉由緊固件而耦接至定位盤。緊固件各自施加大約相等的緊固力,以耦接冷卻板至定位盤。
本文所述的本發明的一些實施例涵蓋一種靜電定位盤,靜電定位盤包括AlN或Al2O3上定位盤板,AlN或Al2O3上定位盤板具有一或更多個加熱元件與一或更多個電極,以靜電固定基板。靜電定位盤進一步包括下定位盤板,下定位盤板藉由金屬接合而接合至上定位盤板。下定位盤板包括下述一者:a)鉬,b)滲透有AlSi合金的SiC多孔主體,或c)陶瓷,例如AlN或Al2O3。下定位盤板進一步包括多個特徵,多個特徵係分佈於下定位盤板的底側之上、在離下定位盤板的中心之不同的距離處,其中每一特徵容納一緊固件。
本文所述的本發明的一些實施例涵蓋一種製造靜電吸座組件的方法。該方法包括下述步驟:形成複數個特徵於下定位盤板中。該方法進一步包括下述步驟:利用金屬接合將下定位盤板接合至上定位盤板,以形成定位盤,上定位盤板包括一或更多個加熱元件與一或更多個電極,以靜電固定基板。該方法進一步包括下述步驟:設置全氟聚合物(PFP,perfluoropolymer)墊圈或PFP O形環的至少一者至冷卻板的至少一部分的頂側。該方法進一步包括下述步驟:將複數個緊固件的一者插設至形成於下定位盤板中的複數個特徵的每一者中。該方法進一步包括下述步驟:藉由緊化複數個緊固件,來耦接冷卻板至定位盤。複數個緊固件可大約相等地緊化,以施加大約相等的緊固力,來耦接冷卻板至定位盤。
100‧‧‧處理腔室
102‧‧‧腔室主體
104‧‧‧蓋體
106‧‧‧內部容積
108‧‧‧側壁
110‧‧‧底部
116‧‧‧外部襯墊
118‧‧‧內部襯墊
126‧‧‧排氣口
128‧‧‧泵系統
130‧‧‧氣體分配組件
132‧‧‧孔
136‧‧‧保護層
138‧‧‧熱墊圈
144‧‧‧基板
146‧‧‧保護環
148‧‧‧基板支撐組件
150‧‧‧靜電吸座組件
152‧‧‧底座
158‧‧‧氣體控制板
162‧‧‧安裝板
164‧‧‧冷卻板(導熱基座)
166‧‧‧定位盤
168、170‧‧‧導管
172‧‧‧流體源
174‧‧‧熱隔離器
176‧‧‧加熱元件
178‧‧‧加熱器電源
180‧‧‧夾持電極
182‧‧‧吸持電源
184、186‧‧‧RF電源
188‧‧‧匹配電路
190、192‧‧‧溫度感測器
195‧‧‧控制器
206、210‧‧‧凸台
208、212‧‧‧通道
216‧‧‧外環
224‧‧‧主要部分
230‧‧‧上定位盤板
232‧‧‧下定位盤板
240‧‧‧O形環
242‧‧‧特徵
280‧‧‧孔
305‧‧‧特徵
405‧‧‧緊固件
410‧‧‧O形環
415‧‧‧間隔
430‧‧‧特徵
432‧‧‧特徵
440‧‧‧氣體供應器
445‧‧‧O形環
450‧‧‧金屬接合
505‧‧‧靜電吸座組件
510‧‧‧靜電定位盤
515‧‧‧上定位盤板
520‧‧‧下定位盤板
522‧‧‧導電路徑
524‧‧‧特徵
525‧‧‧墊圈
526‧‧‧緊固件
528‧‧‧特徵
527‧‧‧夾持電極
529‧‧‧加熱元件
532、542‧‧‧氣體孔
534‧‧‧多孔插塞
535‧‧‧導管
536‧‧‧散熱器
538‧‧‧O形環
540‧‧‧安裝板
542‧‧‧孔
544‧‧‧突伸部
545‧‧‧O形環
550‧‧‧金屬接合
570‧‧‧彈簧
580‧‧‧O形環
585‧‧‧熱間隔物
590‧‧‧RF墊圈
594‧‧‧冷卻板
595‧‧‧基座部分
600‧‧‧處理
605、610、615、620、625‧‧‧方塊
R1、R2、R3‧‧‧半徑
本發明藉由範例的方式來例示,且非藉由限制的方式,在附圖的圖式中,類似的元件符號表示類似的元件。應注意到,本揭示案中對於「一」或「一個」實施例之不同的提及並不必然是指相同的實施例,且此種提及是指至少一個。
第1圖繪示處理腔室的一實施例的剖面側視圖;第2圖繪示基板支撐組件的一實施例的分解視圖; 第3圖繪示靜電吸座組件的一實施例的剖面頂視圖;第4圖繪示靜電吸座組件的一實施例的剖面側視圖;第5圖繪示靜電吸座組件的另一實施例的剖面側視圖;及第6圖例示用於製造靜電吸座組件的處理的一實施例。
本發明的實施例提供包括定位盤的一種基板支撐組件與一種靜電吸座組件,定位盤藉由多個緊固件而耦接至冷卻板。多個緊固件用來固定定位盤至冷卻板。多個緊固件位於離定位盤的中心之不同距離處。在一實施例中,第一組緊固件係設置於離定位盤的中心之第一半徑處,且第二組緊固件係設置於離定位盤的中心之第二半徑處。多個緊固件可大約均勻地分佈橫越冷卻板的頂側或表面,以均勻地分佈緊固力來耦接定位盤至冷卻板。所有緊固件可等量地緊化,以確保每一緊固件所施加的緊固力大約相同。如此可促進定位盤與定位盤之上的冷卻板之間的均勻熱轉移特性。
在一實施例中,靜電吸座組件包括定位盤,定位盤具有電性絕緣的上定位盤板,上定位盤板藉由金屬接合而接合至下定位盤板。金屬接合可為鋁接合、AlSi合金接合、或其他金屬接合。上定位盤板包括一或更多個加 熱元件與一或更多個電極,以靜電固定基板。下定位盤板包括多個特徵,多個特徵係分佈於下定位盤板的底側之上、在離下定位盤板的中心之不同的距離處。每一特徵容納複數個緊固件的一者。靜電吸座組件進一步包括冷卻板,冷卻板藉由緊固件而耦接至定位盤。冷卻板可包括基座部分(稱作冷卻基座)與彈簧裝載的內部散熱器,散熱器藉由複數個彈簧而連接至基座部分,其中複數個彈簧施加力來將內部散熱器壓抵定位盤。緊固件各自施加大約相等的緊固力來耦接冷卻板至定位盤(例如,耦接冷卻板的基座部分至定位盤)。此大約相等的緊固力可促進冷卻板與定位盤之間的均勻熱轉移。另外,彈簧裝載的內部散熱器也可促進冷卻板與定位盤之間的均勻熱轉移。
第1圖為半導體處理腔室100的一實施例的剖面視圖,半導體處理腔室100具有靜電吸座組件150設置在其中。靜電吸座組件150包括靜電定位盤(定位盤166),定位盤166具有上定位盤板,上定位盤板接合至下定位盤板,如同下面將更詳細討論的。定位盤166藉由多個緊固件而耦接至冷卻板,如同下面更詳細討論的。
處理腔室100包括腔室主體102與蓋體104,腔室主體102與蓋體104包圍內部容積106。腔室主體102可由鋁、不銹鋼、或其他合適的材料製成。腔室主體102大體上包括側壁108與底部110。外部襯墊116可設置相鄰於側壁108,以保護腔室主體102。外部襯墊116可製造及/或塗覆有對於電漿或含鹵素的氣體有抗蝕 性的材料。在一實施例中,外部襯墊116由鋁的氧化物製成。在另一實施例中,外部襯墊116由氧化釔、釔合金或其氧化物製成,或者塗覆有氧化釔、釔合金或其氧化物。
排氣口126可界定於腔室主體102中,並且可耦接內部容積106至泵系統128。泵系統128可包括一或更多個泵與節流閥,用於排空與調節處理腔室100的內部容積106的壓力。
蓋體104可支撐於腔室主體102的側壁108上。蓋體104可打開,以允許使用處理腔室100的內部容積106,且蓋體104關閉時可提供對於處理腔室100的密封。氣體控制板158可耦接至處理腔室100,以通過氣體分配組件130而提供處理及/或清洗氣體至內部容積106,氣體分配組件130為蓋體104的部分。處理氣體的範例可用於在包括含有鹵素的氣體之處理腔室中的處理,例如C2F6、SF6、SiCl4、HBr、NF3、CF4、CHF3、CH2F3、Cl2與SiF4等,以及其他的氣體,例如O2或N2O。載體氣體的範例包括N2、He、Ar,以及對於處理氣體來說為惰性的其他氣體(例如,非反應性氣體)。氣體分配組件130可具有多個孔132在氣體分配組件130的下游表面上,以引導氣體流至基板144的表面。額外地,或者替代地,氣體分配組件130可具有中心孔,在中心孔處,氣體透過陶瓷氣體噴嘴而饋送。氣體分配組件130可由陶瓷材料製造及/或塗覆有陶瓷材料,例如碳化 矽、釔氧化物等,以提供對於含鹵素的化學品之抗蝕,來防止氣體分配組件130腐蝕。
基板支撐組件148設置於處理腔室100的內部容積106中、氣體分配組件130的下方。基板支撐組件148在處理期間固持基板144。內部襯墊118可塗覆於基板支撐組件148的周邊上。內部襯墊118可為對於含鹵素的氣體有抗蝕性的材料,例如相關於外部襯墊116所討論的那些。在一實施例中,內部襯墊118可由與外部襯墊116相同的材料製成。
在一實施例中,基板支撐組件148包括支撐底座152的安裝板162以及靜電吸座組件150。在一實施例中,靜電吸座組件150進一步包括導熱基座,導熱基座在本文稱為冷卻板164,冷卻板164藉由多個緊固件而耦接至靜電定位盤(以下稱為定位盤166)。實施例中所述的靜電吸座組件150可用於Johnsen-Rahbek及/或Coulombic(庫侖)靜電吸持。
在一實施例中,保護環146設置於定位盤166的外周界處的定位盤166的一部分之上。在一實施例中,定位盤166塗覆有保護層136。或者,定位盤166可不塗覆有保護層136。保護層136可為陶瓷,例如Y2O3(氧化釔(yttria或yttrium oxide))、Y4Al2O9(YAM)、Al2O3(氧化鋁)、Y3Al5O12(YAG)、YAlO3(YAP)、石英、SiC(碳化矽)、Si3N4(氮化矽)賽綸陶瓷(Sialon)、AlN(氮化鋁)、AlON(氧氮化鋁)、TiO2 (二氧化鈦)、ZrO2(氧化鋯)、TiC(碳化鈦)、ZrC(碳化鋯)、TiN(氮化鈦)、TiCN(鈦氮化碳)、Y2O3穩定的ZrO2(YSZ)等。保護層也可為陶瓷合成物,例如分佈於Al2O3基質中的Y3Al5O12、Y2O3-ZrO2固體溶液、或SiC-Si3N4固體溶液。保護層也可為陶瓷合成物,包括含有氧化釔(也稱為Y2O3)的固體溶液。例如,保護層可為陶瓷合成物,包括化合物Y4Al2O9(YAM)與固體溶液Y2-xZrxO3(Y2O3-ZrO2固體溶液)。注意到,純的氧化釔以及含有氧化釔的固體溶液可摻雜有下述一或更多者:ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3、或其他氧化物。也注意到,可使用純的氮化鋁以及摻雜有下述一或更多者之氮化鋁:ZrO2、Al2O3、SiO2、B2O3、Er2O3、Nd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3、或其他氧化物。或者,保護層可為藍寶石或MgAlON。
定位盤166包括上定位盤板(未圖示)與下定位盤板(未圖示),兩者藉由金屬接合而接合。上定位盤板可為介電質或電性絕緣的材料(例如,具有大於1014歐姆.公尺的電阻率),可用於180℃與更高的溫度之半導體處理。在一實施例中,上定位盤板包括大約20℃至大約500℃可使用的材料。在一實施例中,上定位盤板為AlN。AlN上定位盤板可為未摻雜的或可有摻雜。例如,AlN可摻雜有氧化釤(Sm2O3)、氧化鈰(CeO2)、二氧化鈦(TiO2),或者過渡金屬氧化物。在一實施例 中,上定位盤板為Al2O3。Al2O3上定位盤板可為未摻雜的或可有摻雜。例如,Al2O3可摻雜有二氧化鈦(TiO2)或過渡金屬氧化物。
下定位盤板可具有匹配於上定位盤板的熱膨脹係數之熱膨脹係數。在一實施例中,下定位盤板為滲透有AlSi合金的SiC多孔主體(稱為AlSiSiC)。下定位盤板可替代地為AlN或Al2O3。在一實施例中,下定位盤板為未摻雜的AlN或未摻雜的Al2O3。在一實施例中,下定位盤板包括與上定位盤板相同的材料。AlSiSiC材料、AlN或Al2O3可使用在例如反應蝕刻環境中或惰性環境中。
在一實施例中,下定位盤板為鉬。例如,若定位盤166將使用在惰性環境中,則可使用鉬。惰性環境的範例包括惰性氣體(例如,Ar、O2、N等)流動的環境。例如,若定位盤166將吸持用於金屬沉積的基板,則可使用鉬。鉬也可用於要應用在腐蝕性環境中(例如,蝕刻應用)的下定位盤板。在此種實施例中,在下定位盤板接合至上定位盤板之後,下定位盤板的曝露表面可塗覆有電漿抗蝕塗層。電漿抗蝕塗層可經由電漿噴塗處理來執行。電漿抗蝕塗層可覆蓋例如下定位盤板的側壁與下定位盤板的曝露的水平階部。在一實施例中,電漿抗蝕塗層為Al2O3。或者,電漿抗蝕塗層可為Y2O3或含有Y2O3的氧化物。或者,電漿抗蝕塗層可為相關於保護層136所述的任何材料。
安裝板162耦接至腔室主體102的底部110,並且包括通路,通路用於繞送用品(例如,流體、電力線、感測器引線等)至冷卻板164與定位盤166。冷卻板164及/或定位盤166可包括一或更多個選擇性的嵌入式加熱元件176、選擇性的嵌入式熱隔離器174及/或選擇性的導管168、170,以控制基板支撐組件148的橫向溫度分布。在一實施例中,熱墊圈138設置於冷卻板164的至少一部分上。
導管168、170可流體耦接至流體源172,流體源172通過導管168、170來循環溫度調節流體。在一實施例中,嵌入式熱隔離器174可設置於導管168、170之間。嵌入式加熱元件176藉由加熱器電源178來調節。導管168、170與嵌入式加熱元件176可用於控制定位盤166的溫度,藉此加熱及/或冷卻定位盤166與正在處理的基板(例如,晶圓)。在一實施例中,定位盤166包括兩個分離的加熱區,兩個分離的加熱區可維持不同的溫度。在另一實施例中,定位盤166包括四個不同的加熱區,四個不同的加熱區可維持不同的溫度。靜電定位盤166與導熱基座164的溫度可使用多個溫度感測器190、192來監測,可使用控制器195來監測溫度感測器190、192。
定位盤166可進一步包括多個氣體通路,例如可形成於定位盤166的上表面中的凹槽、凸台與其他表面特徵。氣體通路可經由定位盤166中所鑽的孔而流體耦接 至熱轉移(或背側)氣體源,例如He。在操作中,背側氣體可以以受控的壓力提供至氣體通路中,以加強定位盤166與基板144之間的熱轉移。
在一實施例中,定位盤166包括由吸持電源182所控制的至少一夾持電極180。夾持電極180(也稱為吸持電極)可透過匹配電路188而另外耦接至一或更多個RF電源184、186,以維持從處理腔室100內的處理及/或其他氣體形成的電漿。一或更多個RF電源184、186通常可以產生RF信號,RF信號具有大約50kHz至大約3GHz的頻率以及高達大約10,000瓦特的功率。在一實施例中,RF信號施加至金屬基座,交流電流(AC)施加至加熱器,且直流電流(DC)施加至夾持電極180。
第2圖繪示基板支撐組件148的一實施例的分解視圖。基板支撐組件148繪示靜電吸座組件150的分解視圖,靜電吸座組件150包括定位盤166與底座152。靜電吸座組件150包括定位盤166以及附接至定位盤166的冷卻板164。如同所示,O形環240可沿著冷卻板164的頂側的周界而硫化至冷卻板164。或者,O形環可設置於冷卻板164的頂側上,而並非硫化至冷卻板164的頂側。本文中討論的實施例係相關於硫化至冷卻板164的至少一部分之O形環與墊圈。但是,應理解到,O形環及/或墊圈可替代地硫化至下定位盤板。或者,O形環及/或墊圈可並非硫化至任何表面。在一實施例中,O形環240為全氟聚合物(PFP,perfluoropolymer)O形環。 或者,可使用其他類型的高溫O形環。在一實施例中,使用絕熱的高溫O形環。O形環240可為階梯式O型環,具有第一厚度的第一階以及第二厚度的第二階。在PFP O形環240的設定量的壓縮之後,藉由使得用於緊化緊固件的力的量顯著增加,這可促進緊固件的均勻緊化。
額外的O型環(未圖示)也可硫化至冷卻板164的中心處的孔280周圍之冷卻板的頂側,纜線運行通過孔280。其他較小的O形環也可硫化至其他開孔周圍的冷卻板164、升舉銷的周圍等。或者,墊圈(例如,PFP墊圈)可硫化至冷卻板164的頂側。可用於墊圈或O型環240之PFP的範例為杜邦(Dupont)的TMECCtremeTM、杜邦的KALREZ®與大金(Daikin)的®DUPRATM。O形環240或墊圈提供靜電吸座組件150內的內部容積與腔室內部容積之間的真空密封。靜電吸座組件150內的內部容積包括底座152內的開放空間,用於繞送導管與接線。
冷卻板164另外包括多個特徵242,緊固件插設通過特徵242。若使用墊圈時,墊圈在每一特徵242處可具有切口。緊固件延伸通過每一特徵242,並且附接至插設至定位盤166中所形成的額外特徵中之緊固件的額外部分(或額外的緊固件)。例如,螺栓可延伸通過冷卻板164中的特徵242,並且旋進設置於定位盤166的特徵中的螺母中。冷卻板164中的每一特徵242可相對於定位盤166的下定位盤板232中的類似特徵(未圖示)而排齊。
定位盤166具有類似圓盤的形狀,具有環狀的周邊,環狀的周邊可實質上匹配於定位在其上的基板144的形狀與尺寸。定位盤166的上表面可具有外環216、多個凸台206、210、與凸台210之間的通道208、212。定位盤166包括上定位盤板230,上定位盤板230藉由金屬接合而接合至下定位盤板232。在一實施例中,上定位盤板230可由電性絕緣的陶瓷材料來製造。陶瓷材料的合適範例包括氮化鋁(AlN)、氧化鋁(Al2O3)、與類似者。
在一實施例中,用於下定位盤板232的材料可適當地選擇,使得下定位盤板232材料的熱膨脹係數(CTE)實質上匹配於電性絕緣的上定位盤板230材料的CTE,以最小化CTE不匹配,並且避免熱機械應力,熱機械應力可能在熱循環期間損壞定位盤166。在一實施例中,下定位盤板232為鉬。在一實施例中,下定位盤板為氧化鋁。在一實施例中,下定位盤板為AlN。
在一實施例中,導電金屬基質合成物(MMC,metal matrix composite)材料係用於下定位盤板232。MMC材料包括金屬基質與強化材料,強化材料嵌入並且分散在整個基質中。金屬基質可包括單一金屬或者二或多種金屬或金屬合金。可使用的金屬包括(但不限於)鋁(Al)、鎂(Mg)、鈦(Ti)、鈷(Co)、鈷鎳合金(CoNi)、鎳(Ni)、鉻(Cr)、金(Au)、銀(Ag)或其各種組合。強化材料可選擇來提供MMC的所欲結構 強度,且強化材料也可選擇來提供MMC的其他特性之所欲值,例如熱傳導性與CTE,舉例來說。可使用的強化材料的範例包括矽(Si)、碳(C)、或碳化矽(SiC),但是也可使用其他材料。
用於下定位盤板232的MMC材料較佳地係選擇來提供所欲的導電性,並且在靜電吸座組件150的操作溫度範圍內實質上匹配於上定位盤板230材料的CTE。在一實施例中,溫度範圍可為大約攝氏20度至大約攝氏500度。在一實施例中,使CTE匹配係基於選擇MMC材料,使得MMC材料包括也使用在上定位盤板230材料中的至少一材料。在一實施例中,上定位盤板230包括AlN。在一實施例中,MMC材料包括滲透有AlSi合金的SiC多孔主體。
MMC的構成材料與成分的百分比可選擇來提供滿足所欲的設計目標之工程材料。例如,藉由適當地選擇MCC材料來使下定位盤板232與上定位盤板230的CTE接近匹配,下定位盤板232與上定位盤板230之間的界面處的熱機械應力可減少。
下定位盤板232可包括許多特徵(未圖示),用於接收緊固件。該等特徵可大約均勻地分佈橫越下定位盤板232的表面,並且可包括離下定位盤板232的中心有第一距離之第一組特徵,以及離下定位盤板232的中心有第二距離之第二組特徵。
附接於定位盤166之下的冷卻板164可具有圓盤狀的主要部分224以及環形凸緣,環形凸緣從主要部分224向外延伸並且定位在底座152上。在一實施例中,冷卻板164可由金屬製成,例如,鋁或不銹鋼或其他合適的材料。或者,冷卻板164可由合成陶瓷來製造,例如滲透有SiC的鋁矽合金或鉬,以匹配於定位盤166的熱膨脹係數。冷卻板164應提供良好的強度與耐用性以及熱轉移特性。
第3圖繪示定位盤166的一實施例的剖面頂視圖。如同所示,定位盤166具有半徑R3,半徑R3可為實質上類似於定位盤166所支撐的基板或晶圓的半徑。定位盤166另外包括多個特徵305。特徵可匹配於定位盤166所要安裝至的冷卻板中的類似特徵。每一特徵305容納一緊固件。例如,螺栓(例如,不銹鋼螺栓、鍍鋅鋼螺栓等)可放置至每一特徵內,使得螺栓的頭部在大得足以容納頭部的開孔內部,且螺栓的軸部延伸出定位盤166的底側。螺栓可緊固至螺母上,螺母放置在冷卻板中的對應特徵中。或者,特徵305的尺寸可容納螺母,並且可包括孔,孔可接收由冷卻板中的對應特徵所容納之螺栓的軸部。在另一範例中,螺旋形插入件(例如,Heli-Coil®)或其他螺紋插入件(例如,壓接式插入件、模製式插入件、固定式螺母等)可插入至一或更多個特徵中,以增加螺紋孔至其。放置在冷卻板內部並且從冷卻板突伸的螺栓然後可 旋入螺紋插入件中,以固定冷卻板至定位盤。或者,螺紋插入件可使用在冷卻板中。
相較於緊固件的尺寸,特徵305的尺寸可稍大,以適應緊固件的較大熱膨脹係數。在一實施例中,緊固件的尺寸係設計成使得當緊固件加熱至攝氏500或600度時,緊固件將不會施加力於特徵上。
如同所示,多組特徵305可包括在定位盤166中。每一組特徵305可在離定位盤166的中心之特定半徑或距離處均勻地隔開。例如,如同所示,第一組特徵305位於半徑R1處,且第二組特徵305位於半徑R2處。額外組的特徵也可位於額外的半徑處。
在一實施例中,特徵係配置成在定位盤166上產生均勻的負載。在一實施例中,特徵係配置成使得大約每30-70平方公分定位有一螺栓(例如,每50平方公分)。在一實施例中,三組特徵係用於12英寸的定位盤166。第一組特徵可位於離定位盤166的中心大約4英寸處,並且包括大約4個特徵。第二組特徵可位於離定位盤166的中心大約6英寸處,並且包括大約6個特徵。第三組特徵可位於離定位盤166的中心大約8英寸處,並且包括大約8個特徵。在一實施例中,定位盤166包括大約8-24個特徵係成組地配置在2-3個不同半徑處,其中每一特徵容納一緊固件。
第4圖繪示靜電吸座組件150的一實施例的剖面側視圖。靜電吸座組件150包括定位盤166,定位盤 166由上定位盤板230與下定位盤板232構成,上定位盤板230與下定位盤板232藉由金屬接合450而接合在一起。在一實施例中,擴散接合係使用作為金屬接合的方法,但是也可使用其他的接合方法。在一實施例中,上定位盤板230與下定位盤板232包括含有鋁的材料(例如,AlN或Al2O3)。金屬接合450可包括鋁箔的「中間層」,鋁箔的「中間層」放置在上定位盤板230與下定位盤板232之間的接合區域中。可施加壓力與熱,以形成鋁箔與上定位盤板230之間以及鋁箔與下定位盤板232之間的擴散接合。在另一實施例中,擴散接合可使用其他中間層材料來形成,其他中間層材料係基於用於上定位盤板230與下定位盤板232的材料來選擇。在另一實施例中,使用直接擴散接合(其中沒有使用中間層來形成接合),上定位盤板230可直接接合至下定位盤板232。
電漿抗蝕與高溫度O形環445可由全氟聚合物(PFP)製成。O形環445可為PFP,具有無機添加劑,例如SiC。O形環為可更換的。當O形環445劣化時,O形環可移除,且新的O形環可伸展超過上定位盤板230並且放置在上定位盤板230與下定位盤板232之間的界面處之定位盤166的周界處。O形環445可保護金屬接合450免於電漿的侵蝕。
上定位盤板230包括凸台210、通道212與外環216。上定位盤板230包括夾持電極180與一或更多個加熱元件176。夾持電極180耦接至吸持電源182,並且 經由匹配電路188而耦接至RF電漿電源184與RF偏壓電源186。上定位盤板230與下定位盤板232可另外包括氣體傳送孔(未圖示),氣體供應器440透過氣體傳送孔來泵送背側氣體,例如He。
上定位盤板230可具有大約3-25mm的厚度。在一實施例中,上定位盤板230具有大約3mm的厚度。夾持電極180可位於離上定位盤板230的上表面大約1mm,且加熱元件176可位於夾持電極180之下大約1mm。加熱元件176可為絲網印刷的加熱元件,具有大約10-200微米的厚度。或者,加熱元件可為使用上定位盤板230的大約1-3mm厚度之電阻線圈。在此種實施例中,上定位盤板230可具有大約5mm的最小厚度。在一實施例中,下定位盤板232具有大約8-25mm的厚度
加熱元件176電連接至用於加熱上定位盤板230的加熱器電源178。上定位盤板230可包括電性絕緣材料,例如AlN。下定位盤板232與上定位盤板232可由相同的材料製成。在一實施例中,下定位盤板232由與用於上定位盤板230的材料不同之材料製成。在一實施例中,下定位盤板232包括金屬基質合成材料。在一態樣中,金屬基質合成材料包括鋁與矽。在一實施例中,金屬基質合成材料為滲透有AlSi合金的SiC多孔主體。
下定位盤板232耦接至且熱連通於冷卻板164,冷卻板164具有一或更多個導管170(在此也稱為冷卻通道)係流體連通於流體源172。冷卻板164藉由多 個緊固件405而耦接至定位盤166。緊固件405可為螺紋緊固件,例如螺母與螺栓對。如同所示,下定位盤板232包括多個特徵430,用於容納緊固件405。冷卻板164同樣包括多個特徵432,用於容納緊固件405。在一實施例中,特徵為螺栓孔,具有沉孔(counter bore)。如同所示,特徵430為延伸通過下定位盤板232的貫穿特徵。或者,特徵430可不為貫穿特徵。在一實施例中,特徵430為容納T形螺栓頭部或矩形螺母的槽,T形螺栓頭部或矩形螺母可插入至該槽中並且然後旋轉90度。在一實施例中,緊固件包括墊圈、石墨(grafoil)、鋁箔、其他負載分散材料,以從緊固件的頭部均勻地分配力於特徵之上。
在一實施例中(如同所示),PFP O形環410係硫化(或以其他方式設置在)冷卻板164的周界處的冷卻板。或者,PFP O形環410可硫化至下冷卻板232的底側。緊固件405可緊化,以壓縮PFP O形環410。緊固件405可全部利用大約相同的力來緊化,以使定位盤166與冷卻板164之間的間隔415在定位盤166與冷卻板164之間的整個界面為大約相同的(均勻的)。這可確保冷卻板164與定位盤166之間的熱轉移特性為均勻的。在一實施例中,間隔415為大約2-10密爾。例如,若使用沒有石墨層的PFP O形環410,則間隔可為2-10密爾。若石墨層與PFP O形環410一起使用,則間隔可為大約10-40密爾。較大的間隔可降低熱轉移,並且導致定位盤166與 冷卻板164之間的界面作用為熱扼流器。在一實施例中,導電氣體可流入間隔415中,以改良定位盤166與冷卻板164之間的熱轉移。
間隔415最小化定位盤166與冷卻板164之間的接觸面積。另外,藉由維持定位盤166與冷卻板164之間的熱扼流,定位盤166可維持在比冷卻板164遠遠較大的溫度。例如,在一些實施例中,定位盤166可加熱至攝氏180-300度的溫度,同時冷卻板164可維持在低於大約攝氏120度的溫度。定位盤166與冷卻板164在熱循環期間可獨立自由地膨脹或收縮。
藉由限制從加熱的定位盤166至冷卻的冷卻板164之熱傳導路徑,間隔415可作用為熱扼流器。在真空環境中,熱轉移可主要為輻射過程,除非提供有傳導媒介。因為定位盤166在基板處理期間可設置於真空環境中,加熱元件176所產生的熱可較低效率地轉移橫越間隔415。因此,藉由調整間隔及/或影響熱轉移的其他因素,從定位盤166流至冷卻板164的熱通量可受到控制。為了提供基板的有效率加熱,所欲的是,限制從上定位盤板230傳導離開的熱的量。
在一實施例中(未圖示),石墨層設置在定位盤166與冷卻板164之間、PFP O形環410的周界內。石墨可具有大約10-40密爾的厚度。緊固件405可緊化,以壓縮石墨層以及PFP O形環410。石墨可為導熱的,並且可改良定位盤166與冷卻板164之間的熱轉移。
在一實施例中(未圖示),冷卻板164包括基座部分,PFP O形環410可硫化至基座部分。冷卻板164可另外包括彈簧裝載的內部散熱器,內部散熱器藉由一或更多個彈簧而連接至基座部分。彈簧施加力來將內部散熱器壓抵定位盤166。散熱器的表面可具有預定的粗糙度及/或表面特徵(例如,凸台),以控制定位盤166與散熱器之間的熱轉移特性。另外,散熱器的材料會影響熱轉移特性。例如,鋁散熱器將熱轉移的效果優於不銹鋼散熱器。在一實施例中,散熱器包括在散熱器的上表面上的石墨層。
第5圖繪示靜電吸座組件505的另一實施例的剖面側視圖。在一實施例中,靜電吸座組件505對應於第1圖至第2圖的靜電吸座組件150。靜電吸座組件505包括靜電定位盤510,靜電定位盤510由上定位盤板515與下定位盤板520構成。在一實施例中,靜電定位盤510對應於第3圖的定位盤166。在一實施例中,上定位盤板515藉由金屬接合550而接合至下定位盤板520。在一實施例中,擴散接合係使用作為金屬接合的方法。但是,也可使用其他的接合方法來產生金屬接合。
上定位盤板515包括電性絕緣的(介電質的)陶瓷,例如AlN或Al2O3。上定位盤板515包括夾持電極527與一或更多個加熱元件529。夾持電極527可耦接至夾持電源(未圖示),並且經由匹配電路(未圖示)而耦接至RF電漿電源(未圖示)與RF偏壓電源(未圖示)。 加熱元件529電連接至用於加熱上定位盤板515的加熱器電源(未圖示)。
上定位盤板515可具有大約3-10mm的厚度。在一實施例中,上定位盤板515具有大約3-5mm的厚度。夾持電極527可位於離上定位盤板515的上表面大約0.3至1mm,且加熱元件529可位於夾持電極527之下大約2mm。加熱元件529可為絲網印刷的加熱元件,具有大約10-200mm的厚度。或者,加熱元件529可為使用上定位盤板515的大約1-3mm厚度之電阻線圈。在此種實施例中,上定位盤板515可具有大約5mm的最小厚度。
下定位盤板520包括具有熱膨脹係數(CTE)類似或匹配於上定位盤板515的CTE之材料。用於下定位盤板520的材料可適當地選擇,使得下定位盤板520材料的CTE實質上匹配於電性絕緣的上定位盤板515材料的CTE,以最小化CTE不匹配,並且避免熱機械應力,熱機械應力可能在熱循環期間損壞靜電吸座組件505。因此,若上定位盤板515為AlN,則下定位盤板520也可為AlN。類似地,若上定位盤板為Al2O3,則下定位盤板520也可為Al2O3。其他材料也可用於下定位盤板520,例如鉬或導電金屬基質合成物(MMC),例如AlSiSiC。
在一實施例中,下定位盤板520具有大約8-25mm的厚度。在進一步的實施例中,下定位盤板520 具有大約8-20mm的厚度。在進一步的實施例中,下定位盤板520具有大約12mm的厚度。
在一實施例中,下定位盤板520具有粗糙的外壁,外壁塗覆有電漿抗蝕陶瓷塗層(未圖示)。電漿抗蝕陶瓷塗層可對應於相關於保護層136所討論的任何電漿抗蝕陶瓷塗層。
金屬接合550可包括鋁箔的「中間層」,鋁箔的「中間層」放置在上定位盤板515與下定位盤板520之間的接合區域中。可施加壓力與熱,以形成鋁箔與上定位盤板515之間以及鋁箔與下定位盤板520之間的擴散接合。在其他實施例中,擴散接合可使用其他中間層材料來形成,其他中間層材料係基於用於上定位盤板515與下定位盤板520的材料來選擇。在一實施例中,金屬接合550具有0.2-0.3mm的厚度。在一實施例中,使用直接擴散接合(其中沒有使用中間層來形成接合),上定位盤板515可直接接合至下定位盤板520。
上定位盤板515可具有直徑較大於下定位盤板520的直徑。在一實施例中,上定位盤板515與下定位盤板520各自具有大約300mm的直徑。
冷卻板594的邊緣可具有的直徑類似於上定位盤板515的直徑。電漿抗蝕與高溫O形環545可設置於上定位盤板515與冷卻板594的基座部分595之間。此O形環545可提供靜電吸座組件505的內部與處理腔室之間的真空密封。O形環545可由全氟聚合物(PFP)製成。 在一實施例中,O形環545為PFP,具有無機添加劑,例如SiC。O形環545為可更換的。當O形環545劣化時,O形環可移除,且新的O形環可伸展超過上定位盤板515並且放置在上定位盤板515與冷卻板594之間的界面處之上定位盤板515的周界處。O形環545可保護金屬接合550免於電漿的侵蝕。
冷卻板594包括基座部分(也稱為冷卻基座)595與散熱器(例如,內部散熱器)536。散熱器536可藉由一或更多個彈簧570而耦接至基座部分595,彈簧570係操作來將散熱器536壓抵下定位盤板520。在一實施例中,彈簧570為線圈彈簧。彈簧570施加力來將散熱器536壓抵靜電定位盤510。散熱器536可具有一或更多個導管535(本文中也稱為冷卻通道)係流體連通於流體源(未圖示)。散熱器536的表面可具有預定的粗糙度及/或表面特徵(例如,凸台),粗糙度及/或表面特徵會影響靜電定位盤510與散熱器536之間的熱轉移特性。另外,散熱器536的材料會影響熱轉移特性。例如,鋁散熱器536將熱轉移的效果優於不銹鋼散熱器536。在一實施例中,安裝板540設置於冷卻板594之下並且耦接至冷卻板594。
下定位盤板520可包括多個特徵524,用於接收緊固件526。冷卻板594可同樣包括多個特徵528,用於容納緊固件526。替代地,或額外地,安裝板540可包括多個特徵,用於接收緊固件。特徵528可垂直排齊於特 徵524。特徵524、528可為延伸通過下定位盤板520與冷卻板594的貫穿特徵。或者,特徵524、528可不為貫穿特徵。在一實施例中,特徵524、528為螺栓孔,具有沉孔(counter bore)。在一實施例中,特徵524、528為容納T形螺栓頭部或矩形螺母的槽,T形螺栓頭部或矩形螺母可插入至該槽中並且然後旋轉90度。
在一實施例中,冷卻板594藉由插入至特徵524、528中的多個緊固件526而耦接至靜電定位盤510。緊固件526可為不銹鋼、鍍鋅鋼、鉬、或其他金屬。緊固件526可為螺紋緊固件,例如螺母與螺栓對。在一實施例中,緊固件526包括墊圈、石墨、鋁箔、其他負載分散材料,以從緊固件的頭部均勻地分配力於特徵之上。在一實施例中,螺旋形插入件(例如,Heli-Coil®)或其他螺紋插入件(例如,壓接式插入件、模製式插入件、固定式螺母等)可插入至特徵524中,以增加螺紋孔至其。放置在冷卻板594內部(例如,冷卻板594的基座部分595中的特徵524的內部)並且從冷卻板594突伸的螺栓然後可旋入螺紋插入件中,以固定冷卻板至定位盤。或者,螺紋插入件可使用在冷卻板中。
在一實施例中,固定式螺母、模製式插入件、壓接式插入件、或其他螺紋插入件係定位於特徵524的內部。在進一步的實施例中,在插入至特徵524中之前,螺紋插入件的至少一部分係以銅鋅合金焊接。或者,金屬箔可放置在螺紋插入件與特徵524的表面之間。然後可執行 金屬接合(例如,擴散接合)程序,以固定螺紋插入件至特徵524。這可提供增加的耐久性,以用於組裝期間所施加的增加的力。
散熱器536及/或冷卻板594的基座部分595可吸收來自靜電定位盤510的熱。在一實施例中(如同所示),低熱傳導性的墊圈525設置在散熱器536上。低熱傳導性的墊圈525可為例如硫化至散熱器536(或以其他方式設置在散熱器536上)的PFP墊圈。在一實施例中,低熱傳導性的墊圈具有大約每公尺K氏溫度0.2瓦特(W/(m.K))或更低的熱傳導性。緊固件526可用大約相同的力來緊化,且彈簧570可將散熱器536壓抵下定位盤板520,以均勻地壓縮低熱傳導性的墊圈525。低熱傳導性的墊圈525可減少熱轉移,並且作用為熱扼流器。
在一實施例中,石墨層(未圖示)設置在低熱傳導性的墊圈525之上。石墨可具有大約10-40密爾的厚度。緊固件526及/或彈簧570可壓縮石墨層以及低熱傳導性的墊圈525。石墨層可為導熱的,並且可改良橫越散熱器536的橫向熱轉移。
藉由維持靜電定位盤510與冷卻板594之間的熱扼流,靜電定位盤510可維持在比冷卻板594遠遠較大的溫度。例如,在一些實施例中,靜電定位盤510可加熱至攝氏200-300度的溫度,同時冷卻板594可維持在低於大約攝氏120度的溫度。在一實施例中,靜電定位盤510可加熱至高達大約250℃的溫度,同時維持冷卻板 594在大約60℃或以下的溫度。因此,在實施例中,高達190℃的溫差可維持在靜電定位盤510與冷卻板594之間。靜電定位盤510與冷卻板594在熱循環期間可獨立自由地膨脹或收縮。
在一些實施例,所欲的是,在處理期間提供RF信號通過靜電定位盤510並且至支撐的基板。在一實施例中,為了促進此種RF信號傳輸通過靜電定位盤510,將導電墊圈(稱為RF墊圈590)設置在冷卻板594的基座部分595上。RF墊圈可具有導電性為10-3歐姆.公尺的量級或更佳,並且可在高達大約攝氏300度的溫度保持彈簧作用。在一實施例中,RF墊圈為塗覆在金、銅或銀中之Inconel(含有鉻與鐵的鎳合金)。RF墊圈590可將冷卻板594的基座部分595電連接至下定位盤板520。
在下定位盤板520具有低導電性的實施例中(例如,若下定位盤板520為AlN或Al2O3),可在下定位盤板520中之下定位盤板520將接觸於RF墊圈的地方鑽孔。孔然後可填充有高導電性的材料,例如金屬(例如,具有10-3歐姆.公尺的量級或更佳之導電性的金屬棒)。例如,孔可填充有鋁、鎢、銅、鎳、鉬、銀、金等。因此,導電路徑522可形成在下定位盤板520中,以電連接RF信號至金屬接合550。在一實施例中,導電墊形成於導電路徑522周圍之下定位盤板520的表面處。這可確保至金屬接合550與RF墊圈590的良好電性接觸。在一實施例 中,在針對導電路徑522所形成的孔的中心上之下定位盤板520中鑽出淺凹槽。淺凹槽也可填充有金屬或其他導電材料。在例示的範例中,RF墊圈590與導電路徑522在散熱器536的外側上(例如,在離靜電吸座組件505的中心之較遠距離處,相較於散熱器536來說)。或者,RF墊圈590與導電路徑522可形成於靜電吸座組件505的中心附近。
在一實施例中,金屬接合550與RF墊圈590之間的導電路徑係藉由利用金屬層來塗覆下定位盤板520的外壁而形成。金屬層可為鋁、銅、金、銀、其合金、或其他金屬。下定位盤板520的頂部與底部也可在靠近外壁處塗覆有金屬層,以確保良好的電性接觸。在此種實施例中,RF墊圈590可定位在下定位盤板520的外壁附近。
在另一實施例中,金屬接合550與RF墊圈590之間的導電路徑係藉由利用金屬層來塗覆下定位盤板520中的中心孔的壁部而形成。金屬層可為鋁、銅、金、銀、其合金、或其他金屬。下定位盤板520的頂部與底部也可在靠近外壁處塗覆有金屬層,以確保良好的電性接觸。在此種實施例中,RF墊圈590可定位在下定位盤板520的中心附近。
在一實施例中,熱間隔物585設置在冷卻板594的基座部分595上(例如,相鄰於RF墊圈590)。熱間隔物585可用來確保冷卻板595的基座部分594將不會接觸於下定位盤板520。在一實施例中,O形環580設 置相鄰於熱間隔物585。在一實施例中,O形環580可為PFP O形環。O形環580可用於促進真空密封。
在一實施例中,一或更多個氣體孔532、542係鑽入冷卻板594、下定位盤板520與上定位盤板515中。氣體孔532、542可用於傳送背側氣體(例如,氦)至吸持的基板的背側。在一實施例中,上定位盤板515包括終止於多孔插塞534處的氣體孔532。氣體孔532可為通孔,鑽有較大直徑的沉孔,以允許多孔插塞534插設至較大直徑的孔中。多孔插塞534可為多孔陶瓷,例如AlN或Al2O3。多孔插塞534可防止電弧及/或可防止電漿產生在靜電定位盤505內。多孔插塞可在任何地方具有多孔性為大約30%至大約60%之間。
在一實施例中,散熱器536包括孔,且冷卻板594的基座部分595包括突伸部544,突伸部544延伸通過散熱器536中的孔。孔542可鑽入突伸部544中(例如,至突伸部544的中心中)。在一實施例中,O形環538設置在突伸部544的頂部上。緊固件526在緊化時可壓縮O形環538。O形環538可為與O形環545及/或O形環580相同類型的O形環。
第6圖例示用於製造靜電吸座組件的處理600的一實施例。在處理600的方塊605,形成特徵於下定位盤板中。下定位盤板可為AlSiSiC板、AlN板、Al2O3板、或鉬板。形成於下定位盤板中的特徵可包括用於容納緊固件的特徵。另外,一或更多個氣體孔可鑽入下定位盤 板中。另外,一或更多個其他的孔可鑽入下定位盤板中並且填充有金屬棒或其他導電材料,以提供用於RF信號的導電路徑。在一實施例中,淺孔係鑽在下定位盤板中的一或更多個其他的孔的兩端並且填充有金屬或其他導電材料。上定位盤板也可處理成形成一或更多個氣體傳送孔。在一實施例中,上定位盤板中的氣體傳送孔係鑽有沉孔的,且沉孔填充有多孔插塞。
在方塊610,下定位盤板係金屬接合至上定位盤板,以形成定位盤。上定位盤板可為電性絕緣的材料(介電質),具有嵌入的加熱元件與夾持電極。在一實施例中,金屬接合係藉由將Al或AlSi合金的金屬箔放置於上定位盤板與下定位盤板之間而形成。在一實施例中,金屬箔可為大約50微米厚。可施加壓力與熱,以形成金屬箔、上定位盤板與下定位盤板之間的擴散接合。
在一實施例中,在將上定位盤板接合至下定位盤板之前,將螺紋插入件放置至形成於下定位盤板中的特徵中。在一實施例中,螺紋插入件以銅鋅合金焊接。在另一實施例中,在將螺紋插入件放置至特徵中之前,將金屬箔放置至特徵中。在金屬接合的處理期間,螺紋插入件可藉由金屬接合而接合至下定位盤板。
在方塊615,PFP墊圈或O形環設置在冷卻板的頂側上。在一實施例中,PFP墊圈或O形環係硫化至冷卻板的頂側。冷卻板可為例如鋁或鋁合金冷卻板,具有多個通道來流動冷卻流體。冷卻板也可具有特徵形成在其 中。冷卻板中的特徵與下定位盤板中的特徵可各自容納緊固件(例如,螺栓及/或螺母)。在一實施例中,冷卻板包括基座部分與散熱器。PFP墊圈可設置在散熱器上,且在一些實施例中,特徵可形成在基座部分中。在一實施例中,石墨層形成在PFP墊圈之上。
在方塊620,緊固件插設至下定位盤板及/或冷卻板中的特徵中。在一實施例中,在將下定位盤板接合至上定位盤板之前,將緊固件(或緊固件的至少一部分)插設至下定位盤板中。在此種實施例中,緊固件可永久嵌入至定位盤中。在方塊625,藉由將緊固件緊化(例如,藉由將從下定位盤板中的特徵突伸的螺栓螺入位於冷卻板中的特徵中的螺母中),將定位盤耦接至冷卻板。
前面的敘述提出許多具體細節,例如具體的系統、元件、方法等的範例,以提供對於本發明的數個實施例的良好瞭解。但是,對於本領域中熟習技藝者將是顯而易見的,沒有這些具體細節也可實施本發明的至少一些實施例。在其他實例中,熟知的元件或方法並未詳細敘述或以簡單的方塊圖格式呈現,以避免不必要地模糊本發明。因此,提出的具體細節僅為範例性的。特定的實施可從這些範例性細節變化,且仍然理解為是在本發明的範圍內。
本說明書通篇所指的「一實施例」或「一個實施例」是指相關於該實施例所述的特定特徵、結構、或特性係包括在至少一實施例中。因此,本說明書通篇各個地方出現的用詞「在一實施例中」或「在一個實施例中」不 需要都是指相同實施例。另外,用語「或」打算指的是包括性的「或」而非排他性的「或」。當用語「大約」或「近似」在本文中使用時,打算指的是:所表示的標稱值為±10%的精確。
雖然本文的方法的操作係以特定的順序來繪示且敘述,每一方法的操作的順序可改變,使得某些操作可以以相反的順序執行,或者使得某些操作可至少部分地與其他操作同時執行。在另一實施例中,不同操作的指令或子操作可為斷斷續續的及/或交替的方式。在一實施例中,多個金屬接合操作係執行為單一步驟。
應理解到,上面的敘述係打算為例示性的,而非限制性的。在閱讀與理解上述說明後,許多其他實施例對於本領域中熟習技藝者將是顯而易見的。因此,本發明的範圍應參見所附的申請專利範圍以及此申請專利範圍所賦予的均等物的全部範圍來決定。
100‧‧‧處理腔室
102‧‧‧腔室主體
104‧‧‧蓋體
106‧‧‧內部容積
108‧‧‧側壁
110‧‧‧底部
116‧‧‧外部襯墊
118‧‧‧內部襯墊
126‧‧‧排氣口
128‧‧‧泵系統
130‧‧‧氣體分配組件
132‧‧‧孔
136‧‧‧保護層
138‧‧‧熱墊圈
144‧‧‧基板
146‧‧‧保護環
148‧‧‧基板支撐組件
150‧‧‧靜電吸座組件
152‧‧‧底座
158‧‧‧氣體控制板
162‧‧‧安裝板
164‧‧‧冷卻板(導熱基座)
166‧‧‧定位盤
168、170‧‧‧導管
172‧‧‧流體源
174‧‧‧熱隔離器
176‧‧‧加熱元件
178‧‧‧加熱器電源
180‧‧‧夾持電極
182‧‧‧吸持電源
184、186‧‧‧RF電源
188‧‧‧匹配電路
190、192‧‧‧溫度感測器
195‧‧‧控制器

Claims (20)

  1. 一種靜電吸座組件,包括:一定位盤,該定位盤包括:一電性絕緣的上定位盤板,該上定位盤板包括一或更多個加熱元件與一或更多個電極,以靜電固定一基板;及一下定位盤板,該下定位盤板藉由一金屬接合而接合至該上定位盤板,該下定位盤板包括複數個特徵,該複數個特徵係分佈於該下定位盤板之上、在離該下定位盤板的一中心之複數個不同的距離處,其中該複數個特徵的每一者容納複數個緊固件的一者;及一冷卻板,該冷卻板藉由該複數個緊固件而耦接至該下定位盤板,其中該複數個緊固件各自施加一大約相等的緊固力,以耦接該冷卻板至該下定位盤板。
  2. 如請求項1所述之靜電吸座組件,進一步包括:一全氟聚合物(PFP)O形環,該PFP O形環設置於該冷卻板的一頂側上、大約在該冷卻板的一外周界處,其中該複數個緊固件施加該緊固力,以壓縮該PFP O形環。
  3. 如請求項2所述之靜電吸座組件,其中該複 數個緊固件的每一者施加一大約相等的緊固力,以維持該冷卻板與該下定位盤板之間的一大約相等的間隔,並且促進該冷卻板與該下定位盤板之間的一均勻熱轉移,其中該冷卻板與該下定位盤板之間的該間隔為大約2-40密爾。
  4. 如請求項1所述之靜電吸座組件,進一步包括:一全氟聚合物(PFP)墊圈,該PFP墊圈設置於該冷卻板的至少一部分的一頂側上,其中該PFP墊圈係作用為該冷卻板與該定位盤之間的一熱扼流器。
  5. 如請求項4所述之靜電吸座組件,其中該PFP墊圈係硫化至該冷卻板的該至少一部分的該頂側。
  6. 如請求項4所述之靜電吸座組件,進一步包括:一石墨層,該石墨層在該PFP墊圈上。
  7. 如請求項4所述之靜電吸座組件,其中該冷卻板包括:一基座部分;及一彈簧裝載的散熱器,該彈簧裝載的散熱器藉由複數個彈簧而連接至該基座部分,其中該PFP墊圈設置於該散熱器上,且其中該複數個彈簧施加一力,以將該散熱器壓抵該下定位盤板。
  8. 如請求項1所述之靜電吸座組件,其中該複數個緊固件包括複數個螺紋緊固件,且該複數個特徵包括複數個開孔,該複數個開孔用於接收該複數個螺紋緊固件。
  9. 如請求項1所述之靜電吸座組件,其中該一或更多個加熱元件將該基板加熱至高於180℃的一溫度,其中當該基板加熱至高於180℃的該溫度時,該冷卻板維持在低於120℃的一溫度,且其中該定位盤與該冷卻板之間的一界面係作用為該定位盤與該冷卻板之間的一熱扼流器。
  10. 如請求項1所述之靜電吸座組件,其中該複數個特徵大約均勻地分佈在該下定位盤板之上。
  11. 如請求項1所述之靜電吸座組件,其中該複數個特徵係間隔開大約30-70平方公分。
  12. 如請求項1所述之靜電吸座組件,其中該上定位盤板包括AlN,且該下定位盤板包括下述一者:a)鉬或b)滲透有一AlSi合金的一SiC多孔主體。
  13. 如請求項1所述之靜電吸座組件,其中該上定位盤板包括Al2O3,且該下定位盤板包括Al2O3
  14. 如請求項1所述之靜電吸座組件,其中該 上定位盤板包括AlN,且該下定位盤板包括AlN。
  15. 如請求項1所述之靜電吸座組件,進一步包括一高溫O形環,該高溫O形環在該上定位盤板與該冷卻板的一基座部分之間的一界面處的該定位盤的一周界的周圍。
  16. 如請求項1所述之靜電吸座組件,進一步包括:在該下定位盤板中的一導電路徑,該導電路徑包括填充有一導電材料的一孔;及在該下定位盤板與該冷卻基座之間的一導電墊圈,其中該導電墊圈接觸於該導電路徑。
  17. 一種靜電定位盤,包括:一AlN或Al2O3上定位盤板,該AlN或Al2O3上定位盤板包括一或更多個加熱元件與一或更多個電極,以靜電固定一基板;及一下定位盤板,該下定位盤板藉由一金屬接合而接合至該上定位盤板,該下定位盤板包括下述一者:a)鉬,b)滲透有一AlSi合金的一SiC多孔主體,c)AlN或d)Al2O3,該下定位盤板進一步包括複數個特徵,該複數個特徵係分佈於該下定位盤板的一底側之上、在離該下定位盤板的一中心之複數個不同的距離處,其中該複數個特徵的每一者容納複數個緊固件 的一者。
  18. 一種方法,包括下述步驟:形成複數個特徵於一下定位盤板中;利用一金屬接合將該下定位盤板接合至一上定位盤板,以形成一定位盤,該上定位盤板包括一或更多個加熱元件與一或更多個電極,以靜電固定一基板;設置一全氟聚合物(PFP)墊圈或一PFP O形環的至少一者至一冷卻板的至少一部分的一頂側;將複數個緊固件的一者插設至形成於該下定位盤板中的複數個特徵的每一者中;及藉由緊化該複數個緊固件,來耦接該冷卻板至該定位盤,其中該複數個緊固件係大約相等地緊化,以施加一大約相等的緊固力,來耦接該冷卻板至該定位盤。
  19. 如請求項18所述之方法,進一步包括下述步驟:設置一石墨層於該PFP墊圈上。
  20. 如請求項18所述之方法,其中該一或更多個加熱元件將該基板加熱至高於180℃的一溫度,其中當該基板加熱至高於180℃的該溫度時,該冷卻板維持在低於120℃的一溫度,且其中該定位盤與該冷卻板之間的一界面係作用為該定位盤與該冷卻板之間的一熱扼流器。
TW104133552A 2014-10-17 2015-10-13 用於高溫處理之靜電吸座組件 TWI660453B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462065503P 2014-10-17 2014-10-17
US62/065,503 2014-10-17
US14/878,955 2015-10-08
US14/878,955 US10008404B2 (en) 2014-10-17 2015-10-08 Electrostatic chuck assembly for high temperature processes

Publications (2)

Publication Number Publication Date
TW201626497A true TW201626497A (zh) 2016-07-16
TWI660453B TWI660453B (zh) 2019-05-21

Family

ID=55747170

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104133552A TWI660453B (zh) 2014-10-17 2015-10-13 用於高溫處理之靜電吸座組件
TW108112095A TWI713139B (zh) 2014-10-17 2015-10-13 用於高溫處理之靜電吸座組件

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108112095A TWI713139B (zh) 2014-10-17 2015-10-13 用於高溫處理之靜電吸座組件

Country Status (6)

Country Link
US (2) US10008404B2 (zh)
JP (5) JP6644051B2 (zh)
KR (3) KR102506457B1 (zh)
CN (2) CN106663647B (zh)
TW (2) TWI660453B (zh)
WO (1) WO2016060960A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI704643B (zh) * 2017-11-21 2020-09-11 美商瓦特洛威電子製造公司 用於陶瓷台座中的雙效通路
TWI833589B (zh) * 2022-04-26 2024-02-21 日商日本碍子股份有限公司 晶圓載置台

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10083853B2 (en) * 2015-10-19 2018-09-25 Lam Research Corporation Electrostatic chuck design for cooling-gas light-up prevention
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
JP6552429B2 (ja) * 2016-02-05 2019-07-31 東京エレクトロン株式会社 プラズマ処理装置
US10249526B2 (en) 2016-03-04 2019-04-02 Applied Materials, Inc. Substrate support assembly for high temperature processes
US10340171B2 (en) * 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11127619B2 (en) 2016-06-07 2021-09-21 Applied Materials, Inc. Workpiece carrier for high power with enhanced edge sealing
JP6786439B2 (ja) * 2016-06-28 2020-11-18 日本特殊陶業株式会社 保持装置および保持装置の製造方法
US10410900B2 (en) * 2016-08-05 2019-09-10 Applied Materials, Inc. Precision screen printing with sub-micron uniformity of metallization materials on green sheet ceramic
KR101694754B1 (ko) * 2016-09-08 2017-01-11 (주)브이앤아이솔루션 정전척 및 그 제조방법
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
JP6829087B2 (ja) * 2017-01-27 2021-02-10 京セラ株式会社 試料保持具
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US20180337026A1 (en) * 2017-05-19 2018-11-22 Applied Materials, Inc. Erosion resistant atomic layer deposition coatings
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
CN108987323B (zh) * 2017-06-05 2020-03-31 北京北方华创微电子装备有限公司 一种承载装置及半导体加工设备
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN109860073B (zh) * 2017-11-30 2020-10-16 上海微电子装备(集团)股份有限公司 一种加热装置及加热方法
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
SG11201904091XA (en) 2018-04-05 2019-11-28 Lam Res Corp Electrostatic chucks with coolant gas zones and corresponding groove and monopolar electrostatic clamping electrode patterns
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10957572B2 (en) * 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
JP7090465B2 (ja) * 2018-05-10 2022-06-24 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10867829B2 (en) * 2018-07-17 2020-12-15 Applied Materials, Inc. Ceramic hybrid insulator plate
CN108866503A (zh) * 2018-08-30 2018-11-23 东莞市典雅五金制品有限公司 一种加热旋转衬底台
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US20200176232A1 (en) * 2018-12-04 2020-06-04 Nanya Technology Corporation Etching device and operating method thereof
US11562890B2 (en) * 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020203680A1 (ja) * 2019-03-29 2020-10-08 京セラ株式会社 ガスプラグ、静電吸着用部材およびプラズマ処理装置
WO2020242661A1 (en) * 2019-05-24 2020-12-03 Applied Materials, Inc. Substrate support carrier with improved bond layer protection
US11894255B2 (en) * 2019-07-30 2024-02-06 Applied Materials, Inc. Sheath and temperature control of process kit
KR102288733B1 (ko) * 2019-09-25 2021-08-11 (주)에스티아이 기판처리장치
CN112908919A (zh) * 2019-12-04 2021-06-04 中微半导体设备(上海)股份有限公司 静电吸盘装置及包括该静电吸盘装置的等离子体处理装置
CN111128845B (zh) * 2019-12-16 2022-10-21 北京北方华创微电子装备有限公司 应用于薄膜沉积装置的托盘
JP7264102B2 (ja) 2020-04-17 2023-04-25 株式会社デンソー 位置検出装置
JP7404191B2 (ja) * 2020-08-25 2023-12-25 日本特殊陶業株式会社 保持装置
JP7386142B2 (ja) * 2020-08-27 2023-11-24 日本特殊陶業株式会社 保持装置
CN213951334U (zh) * 2020-10-26 2021-08-13 北京北方华创微电子装备有限公司 一种晶片承载机构及半导体工艺设备
US20220282371A1 (en) * 2021-03-03 2022-09-08 Applied Materials, Inc. Electrostatic chuck with metal shaft
TWI768786B (zh) * 2021-03-24 2022-06-21 天虹科技股份有限公司 可準確調整溫度的承載盤及應用該承載盤的薄膜沉積裝置
CN115142045B (zh) * 2021-03-29 2023-12-19 鑫天虹(厦门)科技有限公司 可准确调整温度的承载盘及薄膜沉积装置
WO2022209619A1 (ja) * 2021-04-01 2022-10-06 日本碍子株式会社 ウエハ支持台及びrfロッド
JP7478903B1 (ja) * 2022-06-28 2024-05-07 日本碍子株式会社 ウエハ載置台
WO2024009768A1 (ja) * 2022-07-07 2024-01-11 日本特殊陶業株式会社 保持装置
WO2024100752A1 (ja) * 2022-11-08 2024-05-16 日本碍子株式会社 半導体製造装置用部材

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4305567A (en) 1977-08-29 1981-12-15 Rockwell International Corporation Valve stem seal
US4273148A (en) 1980-04-21 1981-06-16 Litton Industrial Products, Inc. Stem seal for a fire safe ball valve
US5421594A (en) * 1991-02-14 1995-06-06 Marine & Petroleum Mfg., Inc. Gasket
US5535090A (en) 1994-03-03 1996-07-09 Sherman; Arthur Electrostatic chuck
JPH09213781A (ja) 1996-02-01 1997-08-15 Tokyo Electron Ltd 載置台構造及びそれを用いた処理装置
US6019164A (en) 1997-12-31 2000-02-01 Temptronic Corporation Workpiece chuck
US6219219B1 (en) 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
JP3159306B2 (ja) * 1998-12-17 2001-04-23 防衛庁技術研究本部長 航走体船種識別装置及びその方法
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6632512B1 (en) 1999-11-10 2003-10-14 Ibiden Co., Ltd. Ceramic substrate
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US6503368B1 (en) 2000-06-29 2003-01-07 Applied Materials Inc. Substrate support having bonded sections and method
JP3530481B2 (ja) 2000-10-31 2004-05-24 ジー・ピー・ダイキョー株式会社 樹脂製インテークマニホールド、及びその製造方法
US7846254B2 (en) 2003-05-16 2010-12-07 Applied Materials, Inc. Heat transfer assembly
JP4278046B2 (ja) 2003-11-10 2009-06-10 モメンティブ・パフォーマンス・マテリアルズ・ジャパン合同会社 ヒータ機構付き静電チャック
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20060096946A1 (en) 2004-11-10 2006-05-11 General Electric Company Encapsulated wafer processing device and process for making thereof
CN1815700A (zh) * 2005-02-02 2006-08-09 中芯国际集成电路制造(上海)有限公司 晶片处理装置及晶片处理方法
JP4783213B2 (ja) 2005-06-09 2011-09-28 日本碍子株式会社 静電チャック
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
TWI345285B (en) 2006-10-06 2011-07-11 Ngk Insulators Ltd Substrate supporting member
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
JP5660753B2 (ja) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
JP2009164483A (ja) * 2008-01-09 2009-07-23 Panasonic Corp 半導体装置の製造方法および半導体基板処理装置
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
WO2010019430A2 (en) * 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
CN102918629A (zh) 2010-05-28 2013-02-06 艾克塞利斯科技公司 用于静电夹具的匹配的热膨胀系数
WO2012019017A2 (en) * 2010-08-06 2012-02-09 Applied Materials, Inc. Electrostatic chuck and methods of use thereof
US9969022B2 (en) * 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US20120100379A1 (en) * 2010-10-20 2012-04-26 Greene, Tweed Of Delaware, Inc. Fluoroelastomer bonding compositions suitable for high-temperature applications
US9608549B2 (en) 2011-09-30 2017-03-28 Applied Materials, Inc. Electrostatic chuck
US20130276980A1 (en) * 2012-04-23 2013-10-24 Dmitry Lubomirsky Esc with cooling base
JP5989593B2 (ja) 2012-04-27 2016-09-07 日本碍子株式会社 半導体製造装置用部材
JP5861563B2 (ja) * 2012-05-31 2016-02-16 住友電気工業株式会社 ウエハ加熱用ヒータ
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
JP6080571B2 (ja) 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP6182082B2 (ja) 2013-03-15 2017-08-16 日本碍子株式会社 緻密質複合材料、その製法及び半導体製造装置用部材
JP6182084B2 (ja) 2013-03-25 2017-08-16 日本碍子株式会社 緻密質複合材料、その製法、接合体及び半導体製造装置用部材
JP5633766B2 (ja) 2013-03-29 2014-12-03 Toto株式会社 静電チャック
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US10957572B2 (en) * 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI704643B (zh) * 2017-11-21 2020-09-11 美商瓦特洛威電子製造公司 用於陶瓷台座中的雙效通路
TWI833589B (zh) * 2022-04-26 2024-02-21 日商日本碍子股份有限公司 晶圓載置台

Also Published As

Publication number Publication date
JP6644051B2 (ja) 2020-02-12
CN111916387A (zh) 2020-11-10
KR20220025936A (ko) 2022-03-03
US20180190528A1 (en) 2018-07-05
CN106663647A (zh) 2017-05-10
KR20230035150A (ko) 2023-03-10
TWI660453B (zh) 2019-05-21
US10872800B2 (en) 2020-12-22
TW201933529A (zh) 2019-08-16
KR20170073584A (ko) 2017-06-28
JP2022119820A (ja) 2022-08-17
JP7030143B2 (ja) 2022-03-04
JP2023171819A (ja) 2023-12-05
JP2022043171A (ja) 2022-03-15
CN106663647B (zh) 2020-08-25
KR102506457B1 (ko) 2023-03-03
JP2020074423A (ja) 2020-05-14
US20160111315A1 (en) 2016-04-21
US10008404B2 (en) 2018-06-26
TWI713139B (zh) 2020-12-11
JP7355884B2 (ja) 2023-10-03
JP7242823B2 (ja) 2023-03-20
JP2017538278A (ja) 2017-12-21
KR102415779B1 (ko) 2022-06-30
WO2016060960A1 (en) 2016-04-21

Similar Documents

Publication Publication Date Title
JP7030143B2 (ja) 高温処理用静電チャックアセンブリ
US11527429B2 (en) Substrate support assembly for high temperature processes
US11742225B2 (en) Electrostatic puck assembly with metal bonded backing plate
US10957572B2 (en) Multi-zone gasket for substrate support assembly