TW201539553A - 閘極結構的接觸窗結構形成方法 - Google Patents

閘極結構的接觸窗結構形成方法 Download PDF

Info

Publication number
TW201539553A
TW201539553A TW103146196A TW103146196A TW201539553A TW 201539553 A TW201539553 A TW 201539553A TW 103146196 A TW103146196 A TW 103146196A TW 103146196 A TW103146196 A TW 103146196A TW 201539553 A TW201539553 A TW 201539553A
Authority
TW
Taiwan
Prior art keywords
gate
layer
metal
metal gate
sidewall layer
Prior art date
Application number
TW103146196A
Other languages
English (en)
Other versions
TWI559383B (zh
Inventor
Hsiao-Chiu Hsu
Fu-Kai Yang
Mei-Yun Wang
Hsien-Cheng Wang
Shih-Wen Liu
Hsin-Ying Lin
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201539553A publication Critical patent/TW201539553A/zh
Application granted granted Critical
Publication of TWI559383B publication Critical patent/TWI559383B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/2633Bombardment with radiation with high-energy radiation for etching, e.g. sputteretching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53261Refractory-metal alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66515Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned selective metal deposition simultaneously on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一種閘極結構的接觸窗結構形成方法被提供。在此方法中,設置於第一金屬閘極及第二金屬閘極之間的氧化層及第一側壁層被蝕刻,以暴露下方的矽基底。由接觸窗輪廓所定義之矽化物部分被沉積於矽基底暴露之部分中。在沉積矽化物部分之後,實質上覆蓋第一側壁層及至少部分地覆蓋矽化物部分之第二側壁層被形成。金屬黏著層被沉積以圍繞定義溝槽於矽化物部分之上的第一金屬閘極及第二金屬閘極。金屬插塞被沉積於溝槽內。

Description

閘極結構的接觸窗結構形成方法
本揭露關於半導體技術,且更特別地,一種閘極結構之接觸窗結構的形成方法。
在金氧半場效電晶體(MOSFET)的閘極結構的接觸窗(contact)形成製程中,接觸結構藉由微影及蝕刻被圖案化,且矽化物部分藉由接觸窗結構之圖案形成。然而,矽化物部分的小尺寸可能會於接觸窗結構的形成完成之後,降低接觸窗結構的穩定性及性能。
第1圖顯示閘極結構之接觸窗結構的剖面圖。
第2圖顯示在形成矽化物層之後,閘極結構之接觸窗結構的剖面圖。
第3至8圖顯示根據第一實施例之接觸窗結構形成當中,閘極結構之接觸窗結構的多個剖面圖。
第9至10圖顯示根據第二實施例之接觸窗結構形成當中,閘極結構之接觸窗結構的多個剖面圖。
第11圖顯示根據第一實施例,閘極結構之接觸窗結構的形成方法之流程圖。
第12圖顯示根據第二實施例,閘極結構之接觸窗 結構的形成方法之流程圖。
第1圖顯示閘極結構之接觸窗結構100的剖面圖。接觸窗結構100包括:硬罩幕層110、金屬閘極層120、基底層130、磊晶層140、用於硬罩幕層110的絕緣層150、間隙填充(gap-fill)層160、第一閘極151以及第二閘極155。
第一閘極151包括部分的硬罩幕層110、部分的金屬閘極層120、第一左側間隔物152以及第一右側間隔物154。第二閘極155包括部分的硬罩幕層110、部分的金屬閘極層120、第二左側間隔物156以及第二右側間隔物158。
在自對準接觸窗(self-align contact)製程中,若硬罩幕層110不夠厚,在用以沉積間隙填充層160的間隙填充製程之後,第一閘極151及第二閘極155的高度可能會不夠高;用以將金屬閘極層120往下推的製程可能無法成功;且間隙填充製程可能無法成功。此外,使用硬罩幕層110可能會增加形成接觸窗結構100的複雜度,以及接觸窗結構精確地對準磊晶層140的難度,上述磊晶層140將會形成於源極或汲極之中。
第2圖顯示閘極結構之接觸窗結構200在形成矽化物層之後的剖面圖。接觸窗結構200包括:接觸層210、第一閘極222、第二閘極224、氧化層230、矽化物層240以及絕緣層250。絕緣層250亦利用於將第一閘極222及第二閘極224與接觸層210隔離,以減少孔洞及電子散射。
在形成接觸窗結構200之前,實行微影製程以及蝕刻製程,支撐接觸層210的溝槽因此形成於第一閘極222及第二 閘極224之間。溝槽可具有高的深寬比(aspect ratio)。然而沉積接觸層210於溝槽內時,溝槽的高深寬比將限制接觸層210底部之接觸區域。接觸層210底部之有限的接觸區域亦限制了矽化物層240之區域。
小的矽化物層240區域也會導致矽化物層240之高電阻,且由於矽化物層240的高電阻,接觸窗結構200的操作將會變得困難。
若絕緣層250的寬度(例如,第2圖所示之寬度252或寬度254)不夠寬,接觸層210及第一閘極222或第二閘極224之間的孔洞及電子散射可能無法有效地減緩。然而,大的絕緣層250之寬度亦會導致支撐接觸層210的溝槽之高深寬比以及小的矽化物層240區域,且造成接觸窗結構200之尺寸增加。
若矽化物層240的區域,即接觸窗結構200之接觸尺寸,被限制,接觸窗結構200的關鍵尺寸一致性(critical dimension uniformity,CDU)的彈性也將受到限制。
在一範例中,矽化物層240可實質上(essentially)由矽化鎳(NiSi)所組成,以及形成矽化物層240的溫度可約為攝氏溫度400至500度。然而,由於接觸窗結構200的形成可能歷經高介電常數金屬閘極後(high-K metal gate last,HK-last)製程,且由於使用高介電常數金屬閘極後製程之溫度可能約為攝氏溫度800至1000度,因此矽化物層240可能會被高介電常數金屬閘極後製程之高溫所損害。
第3至8圖顯示根據第一實施例之接觸窗結構300形成當中,閘極結構之接觸窗結構300的多個剖面圖。
在第3圖中,接觸窗結構300包括:矽基底320、第一金屬閘極330、第二金屬閘極340、第一側壁層350以及氧化層360。
高介電常數金屬閘極後製程已完成以形成第一金屬閘極330以及第二金屬閘極340。第一金屬閘極330包括第一金屬體(metal body)332以及至少部分地包覆(coat)第一金屬體332之第一間隔物334。第二閘極340包括第二金屬體342以及至少部分地包覆第二金屬體342之第二間隔物344。
第一側壁層350是介於(interpose)第一閘極330及第二閘極340之間。第一金屬閘極330的第一側邊(例如,右邊)是相對於(oppose to)第二金屬閘極340的第二側邊(例如,左邊)。
在第一範例中,第一側壁層350是利用氮基膜(nitride-based film)而形成,因為其具有用以承受至少在接續的一個蝕刻製程中使用之蝕刻劑之高選擇性。
在第二範例中,第一側壁層350是利用擇自由SiNx、SiO(1-x)Nx、SiC(1-x)Nx、SiO(1-x-y)CxNy、Ti(1-x)Nx及Al(1-x)Nx所組成之群組的材料所形成。上述材料亦具有用以承受至少在接續的一個蝕刻製程中使用之蝕刻劑之高選擇性。
在第三範例中,第一側壁層350的平均厚度352可在大約1奈米(nm)至大約5nm之間。
在第四範例中,第一金屬閘極330之第一部份(在間隔物334旁邊的部分)以及第一側壁層350之第二部分(在間隔物344旁邊的部分)之間的距離362可在大約15nm至大約45nm之 間。
在第五範例中,第一金屬閘極330之寬度335是在大約10nm至大約30nm之間,而第二金屬閘極340之寬度345是在大約10nm至大約30nm之間。
在第3圖中,可選擇性地實行第一化學機械研磨(chemical mechanical polishing,CMP)製程以平坦化第一金屬閘極330、第二金屬閘極340以及第一側壁層350。
在第4圖中,蝕刻氧化層360以及在氧化層360下方的部分第一側壁層350以暴露在氧化層360下方的矽基底320。接觸窗輪廓(contact profile)410因此以插入(interposed)的方式被定義在第一金屬閘極330及第二金屬閘極340之間。與第一金屬閘極330及第二金屬閘極340直接接觸之部分的第一側壁層350可能也會被些微地蝕刻。
在一範例中,可利用等向性蝕刻(isotropic etching)製程蝕刻氧化層360以及在氧化層360下方之部分的第一側壁層350。在另一範例中,等向性蝕刻製程為離子轟擊製程(ion bombardment process)。
在第5圖中,矽化物部分520接續著接觸窗輪廓410沉積在矽基底320的暴露區域中。
在一範例中,沉積矽化物部分520是利用擇自由Ti(1-x)Six、Co(1-x)Six、Ni(1-x)Six、Ti(1-x)SixGe、Co(1-x)SixGe及Ni(1-x)SixGe所組成之群組的材料。
在第6圖中,實質上形成第二側壁層630以覆蓋第一側壁層350以及至少部分地覆蓋矽化物部分520。
在第一範例中,形成第二側壁層630是利用擇自由SiOx、SiOxC(1-x)、SiNx、SiO(1-x)Nx、SiC(1-x)Nx、SiO(1-x-y)CxNy、Ti(1-x)Nx及Al(1-x)Nx所組成之群組的材料。
在第二範例中,第二側壁層630之平均厚度640是介於大約10埃(Å)至大約50Å。
在第7圖中,沉積圍繞第一金屬閘極330及第二金屬閘極340之金屬黏著層740。溝槽750因此藉由金屬黏著層750被定義於矽化物部分520之上。接著金屬插塞760沉積於溝槽750之中。
在一範例中,使用鎢沉積金屬黏著層740。在另一範例中,使用鎢沉積金屬插塞760。
在第7圖中,因為在第一側壁層350及第二側壁層630的幫助下而形成之溝槽750的錐形(tapered)結構,溝槽750的深寬比可能降低。
在第8圖中,實行第二化學機械研磨製程於金屬插塞760、金屬黏著層740、第一金屬閘極330、第二金屬閘極340、第一側壁層350以及第二側壁層630上,以平坦化金屬插塞760、金屬黏著層740、第一金屬閘極330、第二金屬閘極340、第一側壁層350以及第二側壁層630。
在第一實施例中,利用第一側壁層350及第二側壁層630將第一金屬閘極330或第二金屬閘極340與金屬插塞760分開,以減少孔洞及電子散射。在第8圖中,由於溝槽750的深寬比降低,相較於接觸層210在底部的接觸區域,金屬插塞760的底部之接觸區域將會較容易接近(accessible)。因此,金屬插 塞760的接觸區域可較易於控制,且可較易使金屬插塞760接觸區域的尺寸符合較佳的關鍵尺寸一致性(critical dimension uniformity,CDU)。
在第一實施例中,由於並未使用硬罩幕層,使用硬罩幕層造成之缺陷可減少。
在第一實施例中,由於預先實行了高介電常數金屬閘極後製程,矽化物部分520僅受到由高介電常數金屬閘極後製程的高溫而導致之極小的破壞。
在第二實施例中,第一側壁層有足夠的厚度得以將第一金屬閘極或第二金屬閘極與金屬插塞分離,以減少孔洞及電子散射,因此不需使用第二側壁層。
第9至10圖顯示根據第二實施例之接觸窗結構形成當中,閘極結構之接觸窗結構900的多個剖面圖。
在如第9圖所示之接觸窗結構900的形成之前,實行如第3至5圖所示之製程。
在第9圖中,接觸窗結構900包括:矽基底960、第一金屬閘極910、第二金屬閘極920、第一側壁層930以及矽化物層950。
第一金屬閘極910包括:第一金屬體912以及至少部分地覆蓋第一金屬體912之第一間隔物914。第二閘極920包括:第二金屬體922以及至少部分地覆蓋第二金屬體922之第二間隔物924。
沉積圍繞第一金屬閘極910以及第二金屬閘極920之金屬黏著層940,溝槽970因此藉由金屬黏著層940被定義於 矽化物部分950之上。接著金屬插塞980沉積於溝槽970之中。
相似地,因為在第一側壁層930及的幫助下而形成之溝槽970的錐形(tapered)結構,溝槽970的深寬比可能降低。
在第10圖中,實行化學機械研磨製程於金屬插塞980、金屬黏著層940、第一金屬閘極910、第二金屬閘極920以及第一側壁層930,以平坦化金屬插塞980、金屬黏著層940、第一金屬閘極910、第二金屬閘極920以及第一側壁層930。
在第二實施例中,由於溝槽970的深寬比降低,前述接觸窗結構300之優點亦適用於接觸窗結構900。為了簡潔,關於接觸窗結構900之優點便不再重複敘述。
第11圖顯示根據第一實施例,閘極結構之接觸窗結構的形成方法之流程圖。
在第11圖中,方法包括以下階段:選擇性地實行化學機械研磨製程於第一金屬閘極、第二金屬閘極以及第一側壁層上(1102)。蝕刻設置於第一金屬閘極及第二金屬閘極之間的氧化層以及第一側壁層,以暴露下方的矽基底(1104)。沉積由接觸窗輪廓所定義之矽化物部分於矽基底暴露的部分中(1106)。形成實質上覆蓋第一側壁層及至少部分地覆蓋矽化物部分之第二側壁層(1108)。沉積金屬黏著層,圍繞第一金屬閘極及第二金屬閘極而定義溝槽於矽化物部分之上(1110)。沉積金屬插塞於溝槽內(1112)。實行第二化學機械研磨製程於金屬插塞、金屬黏著層、第一金屬閘極、第二金屬閘極、第一側壁層及第二側壁層上(1114)。
第12圖顯示根據第二實施例,閘極結構之接觸窗 結構的形成方法之流程圖。
在第12圖中,方法包括以下階段:選擇性地實行化學機械研磨製程於第一金屬閘極、第二金屬閘極以及第一側壁層上(1202)。蝕刻設置於第一金屬閘極及第二金屬閘極之間的氧化層以及第一側壁層,以暴露下方的矽基底(1204)。沉積由接觸窗輪廓所定義之矽化物部分於矽基底暴露的部分中(1206)。沉積金屬黏著層,圍繞第一金屬閘極及第二金屬閘極而定義溝槽於矽化物部分之上(1208)。沉積金屬插塞於溝槽內(1210)。實行第二化學機械研磨製程於金屬插塞、金屬黏著層、第一金屬閘極、第二金屬閘極及第一側壁層上(1212)。
本揭露教示一種形成閘極結構之接觸窗結構的方法。在此方法中,設置於第一金屬閘極及第二金屬閘極之間的氧化層以及第一側壁層被蝕刻以暴露下方的矽基底。由接觸窗輪廓所定義之矽化物部分在矽基底暴露的部分中被沉積。在矽化物被沉積後,實質上覆蓋第一側壁層及至少部分地覆蓋矽化物部分之第二側壁層被形成。金屬黏著層被沉積,以圍繞第一金屬閘極及第二金屬閘極而定義溝槽於矽化物部分之上。金屬插塞被沉積於上述溝槽內。
本揭露亦教示一種形成閘極結構之接觸窗結構的方法。在此方法中,設置於第一金屬閘極及第二金屬閘極之間的氧化層以及第一側壁層被蝕刻以暴露下方的矽基底。由接觸窗輪廓所定義之矽化物部分在矽基底暴露的部分中被沉積。金屬黏著層被沉積以以圍繞第一金屬閘極及第二金屬閘極而定義溝槽於矽化物部分之上。金屬插塞被沉積於上述溝槽內。
本揭露亦教示一種閘極結構之接觸窗結構。上述接觸窗結構包括:矽化物部分、第一金屬閘極、第二金屬閘極、第一側壁層、金屬黏著層以及金屬插塞。矽化物部分形成於矽基底中;第一金屬閘極形成於矽基底之上;第二金屬閘極形成於矽基底之上;第一側壁層至少部分地覆蓋第一金屬閘極之第一側邊及第二金屬閘極之第二側邊,第一側壁層介於第一金屬閘極以及第二金屬閘極之間,第一金屬閘極之第一側邊相對於第二金屬閘極之第二側邊;金屬黏著層,圍繞第一金屬閘極及第二金屬閘極沉積,而定義溝槽於矽化物部分之上;金屬插塞沉積於該溝槽內。
此文字敘述使用範例以揭露揭露中的實施例,包括最佳模式,且亦使得此技藝人士能夠製造以及使用揭露中不同的實施例。揭露的可專利範圍可包括發生於此技藝人士之其它範例。與此技藝相關之人士應可認同許多實施例可在缺少一或多個特定細節或是以其它替代及/或額外的方法、材料或成分下實行。熟知的結構、材料或操作可能並未顯示或詳細地描述,以避免模糊揭露中不同之實施例。圖示顯示之多個實施例為說明性範例的表現,並未完全按照比例繪製。在一或多個實施例中,特定的特性、結構、材料或特徵可以合適的方式結合。在另一些實施例中,可包括許多額外的層及/或結構,及/或可省略敘述之特徵。許多操作可能被依序描述成多個不連續的操作,其以最能夠幫助了解本揭露的方式敘述。然而,描述的順序不應被理解為用以暗示這些操作必須是按描述之照順序。特別地,這些操作不一定要以描述的順序實行。在此描述的操作 可以與描述之實施例不同的順序、以連續或平行的方式實行。可實行及/或描述許多額外的操作。在額外的實施例中,可省略一些操作。
此文字敘述及接續之申請專利範圍可能包括一些用詞,例如,左、右、頂部、底部、之上、之下、高的、低的、第一、第二等,其使用僅是為了敘述目的,並不應被理解為用以限定。例如,標示相對的垂直位置指的可能是基底或積體電路的裝置面(或主動面(active surface))為該基底的“頂部”表面的情況;基底可能實際上是在任一方位,使得基底之“頂部”面可能在標準的地球參考框架(standard terrestrial frame of reference)中是低於“底部”面的,且仍可落入“頂部”一詞的定義中。除非特定說明除外,在此(包括申請專利範圍)使用之“上”一詞可能不是指第一層直接地位在第二層“上”且與第二層立即接觸;可能也有第三層或其它結構在第一層及第二層之間。舉例而言,在此(包括申請專利範圍)敘述之關於“源極”及“汲極”之結構、陳列(layout)、材料、操作、電壓準位或電流準位可能是可替換的,這是因為具有“源極”及“汲極”之電晶體為對稱的裝置。“基底”一詞可能是指任何包括一或多種半導體材料之結構,包括但非限於大塊(bulk)半導體材料,例如,半導體晶圓(單獨或包括其它材料於其上之集合)以及半導體材料層(單獨或包括其它材料於其上之集合)。在此述之裝置或元件的實施例可以多個位置及方位製造、使用或運送。此技藝人士應可理解圖示中許多不同元件之相等的結合及置換。

Claims (20)

  1. 一種閘極結構的接觸窗結構形成方法,包括:蝕刻設置於一第一金屬閘極及一第二金屬閘極之間的一氧化層及一第一側壁層,以暴露下方的一矽基底;沉積由一接觸窗輪廓所定義之一矽化物部分於該矽基底暴露之部分中;在沉積該矽化物部分之後,形成實質上覆蓋該第一側壁層及至少部分地覆蓋該矽化物部分之一第二側壁層;沉積一金屬黏著層,圍繞該第一金屬閘極及該第二金屬閘極而定義一溝槽於該矽化物部分之上;以及沉積一金屬插塞於該溝槽內。
  2. 如申請專利範圍第1項所述之閘極結構的接觸窗結構形成方法,更包括:在蝕刻該氧化層及該第一側壁層之前,實行一第一化學機械研磨(CMP)製程於該第一金屬閘極、第二金屬閘極、第一側壁層及該氧化層上。
  3. 如申請專利範圍第1項所述之閘極結構的接觸窗結構形成方法,更包括:實行一第二化學機械研磨製程於該金屬插塞、該金屬黏著層、該第一金屬閘極、該第二金屬閘極、該第一側壁層及該第二側壁層上。
  4. 如申請專利範圍第1項所述之閘極結構的接觸窗結構形成方法,其中蝕刻該氧化層及該第一側壁層以暴露下方的矽基底之步驟包括: 實質上於該氧化層及該第一側壁層上實行一等向性蝕刻製程。
  5. 如申請專利範圍第4項所述之閘極結構的接觸窗結構形成方法,其中該等向性蝕刻製程係一離子轟擊製程。
  6. 如申請專利範圍第1項所述之閘極結構的接觸窗結構形成方法,更包括:利用擇自由SiNx、SiO(1-x)Nx、SiC(1-x)Nx、SiO(1-x-y)CxNy、Ti(1-x)Nx及Al(1-x)Nx所組成之群組之一材料形成該第一側壁層;其中該第二側壁層的形成包括:利用擇自由SiOx、SiOxC(1-x)、SiNx、SiO(1-x)Nx、SiC(1-x)Nx、SiO(1-x-y)CxNy、Ti(1-x)Nx及Al(1-x)Nx所組成之群組之一材料形成該第二側壁層;以及其中該矽化物部分的沉積包括:利用擇自由Ti(1-x)Six、Co(1-x)Six、Ni(1-x)Six、Ti(1-x)SixGe、Co(1-x)SixGe及Ni(1-x)SixGe所組成之群組之一材料沉積該矽化物部分。
  7. 一種閘極結構的接觸窗結構形成方法,包括:蝕刻設置於一第一金屬閘極及一第二金屬閘極之間的一氧化層及一第一側壁層,以暴露一下方的矽基底;沉積由一接觸窗輪廓(contact profile)所定義之一矽化物部分於該矽基底暴露之部分中;沉積一金屬黏著層,圍繞該第一金屬閘極及該第二金屬閘極而定義一溝槽於該矽化物部分之上;以及沉積一金屬插塞於該溝槽內。
  8. 如申請專利範圍第7項所述之閘極結構的接觸窗結構形成方法,更包括:在蝕刻該氧化層及該第一側壁層之前,實行一第一化學機械研磨(CMP)製程於該第一金屬閘極、第二金屬閘極、第一側壁層及該氧化層上。
  9. 如申請專利範圍第7項所述之閘極結構的接觸窗結構形成方法,更包括:實行一第二化學機械研磨製程於該金屬插塞、該金屬黏著層、該第一金屬閘極、該第二金屬閘極及該第一側壁層上。
  10. 如申請專利範圍第7項所述之閘極結構的接觸窗結構形成方法,更包括:在沉積該矽化物部分之後,形成實質上覆蓋該第一側壁層及至少部分地覆蓋該矽化物部分之一第二側壁層。
  11. 一種閘極結構的接觸窗結構,包括:一矽化物部分,形成於一矽基底中;一第一金屬閘極,形成於該矽基底之上;一第二金屬閘極,形成於該矽基底之上;一第一側壁層,至少部分地覆蓋該第一金屬閘極之一第一側邊及該第二金屬閘極之一第二側邊,其中該第一側壁層係介於該第一金屬閘極及該第二金屬閘極之間,以及該第一金屬閘極之第一側邊係相對於該第二金屬閘極之第二側邊;一金屬黏著層,圍繞該第一金屬閘極及該第二金屬閘極沉積,而定義一溝槽於該矽化物部分之上;以及 一金屬插塞,沉積於該溝槽內。
  12. 如申請專利範圍第10項所述之閘極結構的接觸窗結構,更包括:一第二側壁層,實質上覆蓋該第一側壁層、至少部分地覆蓋該矽化物部分及實質上被該金屬黏著層所覆蓋。
  13. 如申請專利範圍第12項所述之閘極結構的接觸窗結構,其中該第二側壁層之一材料係擇自由SiOx、SiOxC(1-x)、SiNx、SiO(1-x)Nx、SiC(1-x)Nx、SiO(1-x-y)CxNy、Ti(1-x)Nx及Al(1-x)Nx所組成之群組
  14. 如申請專利範圍第12項所述之閘極結構的接觸窗結構,其中該第二側壁層之一平均厚度介於大約10埃(Å)至大約50埃之間。
  15. 如申請專利範圍第11項所述之閘極結構的接觸窗結構,其中該第一側壁層係一氮基膜。
  16. 如申請專利範圍第11項所述之閘極結構的接觸窗結構,其中該第一側壁層之一材料係擇自由SiNx、SiO(1-x)Nx、SiC(1-x)Nx、SiO(1-x-y)CxNy、Ti(1-x)Nx及Al(1-x)Nx所組成之群組。
  17. 如申請專利範圍第11項所述之閘極結構的接觸窗結構,其中該第一側壁層之一平均厚度介於大約1奈米(nm)至大約5奈米之間。
  18. 如申請專利範圍第11項所述之閘極結構的接觸窗結構,其中至少部分地覆蓋該第一金屬閘極之第一側邊的該第一側壁層之一第一部份,以及至少部分地覆蓋該第二金屬閘極 之第二側邊的該第一側壁之一第二部分之間的距離介於大約15奈米至大約45奈米之間。
  19. 如申請專利範圍第11項所述之閘極結構的接觸窗結構,其中該矽化物部分之一材料係擇自由Ti(1-x)Six、Co(l-x)Six、Ni(1-x)Six、Ti(1-x)SixGe、Co(1-x)SixGe及Ni(1-x)SixGe所組成之群組。
  20. 如申請專利範圍第11項所述之閘極結構的接觸窗結構,其中該第一金屬閘極之一寬度介於大約10奈米至大約30奈米之間,以及該第二金屬閘極之一寬度介於大約10奈米至大約30奈米之間。
TW103146196A 2014-01-17 2014-12-30 閘極結構的接觸窗結構及其形成方法 TWI559383B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/157,576 US9123563B2 (en) 2014-01-17 2014-01-17 Method of forming contact structure of gate structure

Publications (2)

Publication Number Publication Date
TW201539553A true TW201539553A (zh) 2015-10-16
TWI559383B TWI559383B (zh) 2016-11-21

Family

ID=53497600

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103146196A TWI559383B (zh) 2014-01-17 2014-12-30 閘極結構的接觸窗結構及其形成方法

Country Status (3)

Country Link
US (3) US9123563B2 (zh)
DE (1) DE102014019205B4 (zh)
TW (1) TWI559383B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI626715B (zh) * 2015-12-17 2018-06-11 台灣積體電路製造股份有限公司 半導體結構與其製造方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9123563B2 (en) 2014-01-17 2015-09-01 Taiwan Semiconductor Manufacturing Company Limited Method of forming contact structure of gate structure
CN105575946A (zh) 2014-10-16 2016-05-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
EP3920212A1 (en) * 2016-12-30 2021-12-08 INTEL Corporation Contact architecture for capacitance reduction and satisfactory contact resistance

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004146772A (ja) * 2002-03-18 2004-05-20 Fujitsu Ltd 半導体装置及びその製造方法
TWI220768B (en) * 2003-09-04 2004-09-01 Promos Technologies Inc Method of forming a gate and method of forming a contact window
US7563701B2 (en) 2005-03-31 2009-07-21 Intel Corporation Self-aligned contacts for transistors
JP2007081378A (ja) * 2005-08-17 2007-03-29 Fujitsu Ltd 半導体装置とその製造方法、および薄膜装置
CN100555606C (zh) * 2005-09-30 2009-10-28 富士通微电子株式会社 半导体器件及其制造方法
KR101025189B1 (ko) * 2006-03-30 2011-03-31 후지쯔 세미컨덕터 가부시키가이샤 반도체 장치 및 그 제조 방법
JP4952148B2 (ja) * 2006-08-29 2012-06-13 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP5140972B2 (ja) 2006-09-12 2013-02-13 富士通セミコンダクター株式会社 半導体装置の製造方法
US20090051034A1 (en) 2007-08-20 2009-02-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for the same
US8803245B2 (en) 2008-06-30 2014-08-12 Mcafee, Inc. Method of forming stacked trench contacts and structures formed thereby
TWI453898B (zh) * 2008-12-02 2014-09-21 United Microelectronics Corp 接觸插塞電熔絲結構、製造包含其之接觸插塞電熔絲裝置之方法、及製造包含其之唯讀記憶體之方法
WO2010082328A1 (ja) * 2009-01-15 2010-07-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP5672832B2 (ja) * 2010-08-06 2015-02-18 富士通セミコンダクター株式会社 半導体装置とその製造方法
DE102010063780A1 (de) 2010-12-21 2012-06-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
US8614123B2 (en) 2011-11-28 2013-12-24 Globalfoundries Inc. Method of forming a semiconductor device by using sacrificial gate electrodes and sacrificial self-aligned contact structures
US8741718B2 (en) 2012-01-17 2014-06-03 International Business Machines Corporation Local interconnects compatible with replacement gate structures
US9142509B2 (en) * 2012-04-13 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Copper interconnect structure and method for forming the same
JP5880243B2 (ja) * 2012-04-18 2016-03-08 富士通セミコンダクター株式会社 半導体装置とその製造方法
US8741723B2 (en) 2012-04-25 2014-06-03 Globalfoundries Inc. Methods of forming self-aligned contacts for a semiconductor device
US8524592B1 (en) 2012-08-13 2013-09-03 Globalfoundries Inc. Methods of forming semiconductor devices with self-aligned contacts and low-k spacers and the resulting devices
US9006072B2 (en) * 2013-03-14 2015-04-14 United Microelectronics Corp. Method of forming metal silicide layer
US9123563B2 (en) * 2014-01-17 2015-09-01 Taiwan Semiconductor Manufacturing Company Limited Method of forming contact structure of gate structure

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI626715B (zh) * 2015-12-17 2018-06-11 台灣積體電路製造股份有限公司 半導體結構與其製造方法
US10090249B2 (en) 2015-12-17 2018-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US10643947B2 (en) 2015-12-17 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US11004795B2 (en) 2015-12-17 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof

Also Published As

Publication number Publication date
US20150380270A1 (en) 2015-12-31
US9536754B2 (en) 2017-01-03
US9123563B2 (en) 2015-09-01
TWI559383B (zh) 2016-11-21
US20170103918A1 (en) 2017-04-13
US10276437B2 (en) 2019-04-30
DE102014019205A1 (de) 2015-07-23
DE102014019205B4 (de) 2024-01-25
US20150206872A1 (en) 2015-07-23

Similar Documents

Publication Publication Date Title
US9627264B2 (en) Semiconductor device and formation thereof
TWI620250B (zh) 保護溝渠側壁以形成選擇性磊晶半導體材料
TWI559383B (zh) 閘極結構的接觸窗結構及其形成方法
US8927406B2 (en) Dual damascene metal gate
US10680070B2 (en) Trench gate manufacturing method
US9653600B2 (en) Semiconductor device and method of fabricating same
CN108695382B (zh) 半导体装置及其制造方法
TWI641147B (zh) 半導體裝置及其製造方法
US9349728B1 (en) Semiconductor device and method for fabricating the same
WO2014109087A1 (ja) 半導体装置の製造方法
US20220344491A1 (en) Multi-layer dielectric refill for profile control in semiconductor devices
US8466013B2 (en) Method for manufacturing a semiconductor structure
US9991169B2 (en) Semiconductor device and formation thereof
TWI689040B (zh) 半導體元件及其製造方法
KR20110001594A (ko) 수직채널형 비휘발성 메모리 장치의 제조방법
US10256311B2 (en) Fin field effect transistor (FinFET)
US6958276B2 (en) Method of manufacturing trench-type MOSFET
JP6308067B2 (ja) 半導体装置の製造方法
US10504998B2 (en) Semiconductor structure and method of forming the same
TWI553871B (zh) 金屬氧化物半導體場效電晶體結構及其製造方法
US20150332957A1 (en) Method of fabricating a semiconductor device with reduced leak paths
US20150380209A1 (en) Dimension measurement apparatus calibration standard and method for forming the same
TW201611182A (zh) 在導線間形成空氣間隙的方法