TW201439668A - 蝕刻用於形成光罩的極紫外光材料層的方法 - Google Patents

蝕刻用於形成光罩的極紫外光材料層的方法 Download PDF

Info

Publication number
TW201439668A
TW201439668A TW102141290A TW102141290A TW201439668A TW 201439668 A TW201439668 A TW 201439668A TW 102141290 A TW102141290 A TW 102141290A TW 102141290 A TW102141290 A TW 102141290A TW 201439668 A TW201439668 A TW 201439668A
Authority
TW
Taiwan
Prior art keywords
layer
bias power
substrate
applying
etching
Prior art date
Application number
TW102141290A
Other languages
English (en)
Inventor
Keven Yu
Madhavi Chandrachood
Amitabh Sabharwal
Ajay Kumar
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201439668A publication Critical patent/TW201439668A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文提供用於蝕刻光罩的方法及設備。在一個實施例中,蝕刻設置於光罩上之ARC層或吸收層的方法包括下列步驟:傳送膜堆疊進入蝕刻腔室,該膜堆疊具有透過已圖案化層而部份暴露之ARC層或吸收層;提供氣體混合物進入處理腔室,該氣體混合物包括至少一種含氟氣體;施加來源RF功率以自該氣體混合物形成電漿;施加第一形態的RF偏壓功率至基板達第一時間段;施加脫離基板之第二形態的RF偏壓功率達第二時間段;以及在電漿存在的情況下,透過已圖案化層蝕刻ARC層或吸收層。

Description

蝕刻用於形成光罩的極紫外光材料層的方法
本發明的實施例大體而言係關於電漿蝕刻極紫外光(extreme ultraviolet;EUV)材料層之方法,且詳言之,關於在光罩製造期間蝕刻EUV抗反射塗佈(anti-reflective coating;ARC)層及吸收層的方法。
在積體電路(IC)或晶片的製造中,代表晶片之不同層的圖案是由晶片設計師所創造的。一系列可重覆使用的遮罩或光罩以這些圖案被創造,以在製造過程中將各晶片層的設計轉移至半導體基板上。遮罩圖案產生系統使用精確雷射或電子束將晶片各層的設計成像於個別遮罩上。這些遮罩隨後以非常類似與攝影相反的方式,將各層之電路圖案轉移至半導體基板上。這些層以一連串的製程建立且轉化成包括各完整晶片的微小電晶體和電子電路。因此,任何遮罩的缺陷可能被轉移至晶片,潛在地對性能造成不利。相當嚴重的缺陷可使遮罩完全無法使用。典型上,使用一套15至30個遮罩以建構晶片且遮罩會被重覆使用。
典型地,光罩為帶有膜堆疊的玻璃或石英基板,膜堆疊位在基板上並具有包括ARC層、吸收層及覆蓋層等多層設置於其它膜材料(若有的話)上。當製造光罩層時,典型地將光阻層設置於膜堆疊上,以在後續圖案化製程期間,協助將特徵轉移進入膜堆疊。在圖案化製程期間,可藉由將光阻的某些部分暴露於極紫外光或紫外光使暴露的部分溶解於顯影溶液,以將電路設計寫入光罩上。接著將光阻劑的溶解部分移除,容許暴露出來的下方膜堆疊被蝕刻。蝕刻製程可在光阻劑被移除的位置處將膜堆疊自光罩移除,亦即,暴露的膜堆疊被移除。
隨著臨界維度(critical dimensions,CD)的縮減,現今的光學微影術正接近45奈米(nm)技術節點的技術極限。預期下世代微影術(Next generation lithography,NGL),例如,在32nm技術節點及以下的技術節點上,會取代習知的光學微影方法。數個NGL候選者,如極紫外光微影術(extreme ultraviolet lithography,EUVL)、電子投射微影術(electron projection lithography,EPL)、離子投射微影術(ion projection lithography,IPL)、奈米壓印及X光微影術。因EUVL具有光學微影術的大部份特性之事實,在這些微影術中,EUVL是最有可能的繼承者,EUVL相較於其它NGL方法是更為成熟的技術。
因此,已開發具有新式膜架構之膜堆疊,以與EUV技術協作來促進形成具有期望特徵設置於其上之光罩。膜堆疊可包括多重層,該多重層具有待蝕刻以形成期望特徵的不 同材料。不精確的蝕刻製程控制可能導致CD偏差、不良的CD均勻性、非期望的橫斷面輪廓及蝕刻CD線性以及不必要的缺陷。咸信EUV技術可提供良好的CD均勻性、較少的蝕刻偏差、期望的線性、較少的線邊緣粗糙度以及高厚度均勻性及較少的缺陷。
在稱作乾式蝕刻、反應性離子蝕刻或電漿蝕刻等的一個蝕刻製程中,電漿被用來增進化學反應並蝕刻光罩的圖案化膜堆疊。非期望地,因為習知蝕刻製程會攻擊用來圖案化膜堆疊之光阻材料,習知蝕刻製程通常會顯示蝕刻偏差。由於光阻或膜堆疊的側壁在蝕刻製程期間會受到攻擊,使得經圖案化光阻劑的臨界維度無法被精確地轉移至膜堆疊。因此,對具有小於約5μm的CD之光罩而言,習知蝕刻製程可能無法產生可接受的結果。這導致對光罩的經蝕刻特徵之非均勻性,並相應地降低使用光罩產生供具有小CD之元件所用的特徵之能力。由於光罩的CD持續縮減,蝕刻均勻性的重要程度隨之增加。因此,高度期望對用於EUV技術之光罩上所設置的膜堆疊具有高蝕刻均勻性的蝕刻製程。
進一步,也期望在設置於膜堆疊中的各層之間的高蝕刻選擇性。由於形成在膜堆疊中的材料層可能具有相似的膜特性,在蝕刻設置於膜堆疊中的各層的同時常有不良的選擇性發生。不良的蝕刻選擇性可導致不良的結構完整性,如不均勻性或錐形輪廓形成於基板上所形成之結構的頂部及/或側壁,因而最終導致元件故障。因此,蝕刻製程的高選擇性之重要性日漸增加,以在蝕刻膜堆疊或類似物中之下方材料 (所述材料設置於光阻層下方)的同時,保持光阻層的輪廓及厚度。
因此,有需要對用於供EUV技術所用之光罩的增進蝕刻製程。
本發明的實施例大體而言就EUV技術提供了蝕刻光罩的方法及設備。大體而言,本發明的實施例也與用於二元(binary)、相位偏移(phase shift)遮罩、玻璃上的不透明MoSi及EUV微影的光罩製造技術有關。大體而言,本發明的實施例也與用於EUV應用之ARC層及吸收層之電漿移除製程方法有關。
在一個實施例中,蝕刻設置於光罩上之ARC層或吸收層的方法包括下列步驟:傳送膜堆疊進入蝕刻腔室,膜堆疊具有透過已圖案化層而部份暴露之ARC層或吸收層;提供氣體混合物進入處理腔室,氣體混合物包括至少一種含氟氣體;應用RF功率源自氣體混合物形成電漿;施加第一形態的RF偏壓功率至基板達第一時間段;施加脫離基板之第二形態的RF偏壓功率達第二時間段;以及在電漿存在的情況下,透過已圖案化層蝕刻ARC層或吸收層。
在另一個實施例中,蝕刻設置於光罩上之ARC層或吸收層的方法包括下列步驟:傳送膜堆疊進入蝕刻腔室,膜堆疊具有設置在吸收層上之ARC層,該ARC層透過已圖案化光阻層而部份暴露;提供第一氣體混合物進入處理腔室,第一氣體混合物包括至少一種含氟氣體;施加第一來源RF功 率,以自第一氣體混合物形成電漿,以蝕刻ARC層;施加第一形態的RF偏壓功率至基板達第一時間段;施加脫離基板之第二形態的RF偏壓功率達第二時間段;以及在電漿存在的情況下,透過已圖案化光阻層蝕刻ARC層。
在另一實施例中,蝕刻設置於光罩上之ARC層及吸收層的方法包括下列步驟:傳送膜堆疊進入蝕刻腔室,膜堆疊具有ARC層設置於吸收層上,該吸收層設置於該膜堆疊中,該ARC層透過已圖案化光阻層而部份暴露;提供第一氣體混合物進入處理腔室,第一氣體混合物包括至少一種含氟氣體;施加第一形態的RF偏壓功率至基板達第一時間段;施加脫離基板之第二形態的RF偏壓功率達第二時間段;在電漿存在的情況下,透過已圖案化光阻層蝕刻ARC層;提供第二氣體混合物進入相同之處理腔室,第二氣體混合物包括至少一種含氟氣體;施加第三形態的RF偏壓功率至基板達第三時間段;施加脫離基板之第四形態的RF偏壓功率達第四時間段,其中第一RF偏壓功率高於第三RF偏壓功率。
100‧‧‧膜堆疊
102‧‧‧基板
104‧‧‧含鉻層
106‧‧‧反射性多重材料層
106a‧‧‧鉬層
106b‧‧‧矽層
108‧‧‧覆蓋層
110‧‧‧吸收層
112‧‧‧抗反射塗佈(ARC)層
114‧‧‧光阻層
116‧‧‧吸收膜堆疊
118‧‧‧開口
120‧‧‧ARC層的部分
122‧‧‧吸收層的部分/下方表面
124‧‧‧下方表面
190‧‧‧光罩
200‧‧‧反應器
202‧‧‧腔室
204‧‧‧底部
206‧‧‧接地端
208‧‧‧天花板
209‧‧‧腔室壁
210‧‧‧天線
210a、210b‧‧‧同軸元件
212‧‧‧電漿功率源
214‧‧‧匹配網路
216‧‧‧入口
218‧‧‧通道
220‧‧‧配氣板
224‧‧‧支座
226‧‧‧邊緣環
228‧‧‧散熱器
230‧‧‧舉升銷
232‧‧‧夾持電極
234‧‧‧加熱元件
236‧‧‧導引孔
238‧‧‧舉升機構
240‧‧‧偏壓功率源
242‧‧‧匹配網路
244‧‧‧加熱器
246‧‧‧控制器
248‧‧‧記憶體
251‧‧‧連接線路
253‧‧‧連接線路
260‧‧‧靜電卡盤
262‧‧‧節流閥
264‧‧‧真空泵
266‧‧‧卡盤電源供應器
268‧‧‧加熱器電源供應器
270‧‧‧離子-自由基遮蔽件
272‧‧‧板
274‧‧‧孔洞
276‧‧‧支腳
278‧‧‧上方製程容積
280‧‧‧下方製程容積
282‧‧‧連接器
284‧‧‧下部分
286‧‧‧頂部分
288‧‧‧開口
300‧‧‧方法
302~308‧‧‧方塊
因此上述之本發明的特徵、優點和物件被獲得且會被詳細理解,簡短概述於上之本發明之更具體的描述,可參照闡明於附圖中本發明之實施例。然而應注意附圖闡明的僅是本發明的典型實施例,且因此不應被認定為本發明範疇之限制,本發明可涵蓋其他等效之實施例。
第1A至1C圖描繪根據本發明的一個實施例之用於製造EUV光罩的程序之一個實施例; 第2圖描繪根據本發明的一個實施例之可被利用來製造光罩的處理腔室的概要剖面視圖;以及第3圖描繪根據本發明的一個實施例之用於製造光罩的方法之流程圖。
為了有助於理解,在圖式中共通的相同元件已盡可能標示為相同的參考數字。因而一實施例中之元件和特徵可有利地結合至另一實施例而不再進一步敘述。
然而應注意附圖闡明的僅是本發明的示範實施例,且因此不應被認定為本發明範疇之限制,本發明可涵蓋其他等效實施例。
本發明提供用於製造光罩基板的方法及設備。更特別地,本發明關於以增進的蝕刻控制對設置於光罩基板上之ARC層及吸收層進行蝕刻的方法。
第1A至1C圖描繪了用於製造光罩190的製程順序。光罩190包括設置在光罩190上的膜堆疊100,可利用膜堆疊100在光罩190上形成期望的特徵(諸如開口118)。如第1A圖中描繪之示範實施例所示,光罩190可包括光罩基板102。基板102可為石英基板(例如,低熱膨脹二氧化矽(SiO2))層。光罩基板102可為具有長度介於約5英寸至約9英寸之側邊的矩形形狀。光罩基板102的厚度可介於約0.15英寸與約0.25英寸之間。在一個實施例中,光罩基板102約為0.25英寸厚。可依需求將諸如氮化鉻(CrN)層等可選的含鉻層104設置在光罩基板102的背側。
EUV反射性多重材料層106設置於光罩基板102上。反射性多重材料層106可包括至少一個鉬層106a及矽層106b。儘管描繪於第1A圖中的實施例顯示出五對鉬層106a及矽層106b(交替的鉬層106a及矽層106b重複地形成於光罩基板102上),應注意到可根據不同的製程需求改變鉬層106a及矽層106b的數量。在一個特定的實施例中,可沉積四十對鉬層106a及矽層106b來形成反射性多重材料層106。在一個實施例中,可將各單一鉬層106a的厚度控制在介於約1Å與約10Å之間,如約3Å,且可將各單一矽層106b的厚度控制在介於約1Å與約10Å之間,如約4Å。反射性多重材料層106的總厚度可介於約10Å與約500Å之間。反射性多重材料層106在13.5nm波長下可具有達70%的EUV光反射性。反射性多重材料層106的總厚度可介於約70nm與約140nm之間。
覆蓋層108設置於反射性多重材料層106上。覆蓋層108可由金屬材料製成,如釕(Ru)材料、鋯(Zr)材料或任何其它合適的材料。在第1A至1C圖所描繪的實施例中,覆蓋層108為釕(Ru)層。覆蓋層108的厚度可介於約1nm與約10nm之間,如介於約2.5nm與約50nm之間。
吸收膜堆疊116可被設置於覆蓋層108上。吸收膜堆疊116是經配置以吸收在微影製程期間所產生之部分光線之不透明且遮光的層。吸收膜堆疊116的形式可為單層或多層結構,如包括設置於吸收層110上的抗反射塗佈(ARC)層112,如第1A至1C圖所描繪的實施例。在一個實施例中,吸 收膜堆疊116的總膜厚度介於約50nm與約200nm之間。在一個實施例中,ARC層112可具有介於約3nm與約10nm之間的厚度,且吸收層可具有介於約70nm與約90nm之間的厚度。對次45奈米技術節點應用(sub-45nm technology node application)中之EUV遮罩而言,吸收膜堆疊116的總厚度可有利地有助於符合嚴格的整體蝕刻輪廓公差。
在一個實施例中,吸收層110可包含基本上無氧的鉭系材料,例如矽化鉭(tantalum silicide)系材料(如TaSi)、氮化之硼化鉭系材料(如TaBN)及氮化鉭系材料(如TaN)。ARC層112可由鉭及氧系材料(如TaN、TaON或TaBN)所製成。在一個實施例中,ARC層112的成分對應吸收層110的成分,且當吸收層110包含TaSi或TaSiN時,ARC層112可包含氧化及氮化之鉭及矽系材料,如TaSiON;當吸收層110包含TaBN或TaN時,ARC層112可包含氧硼化鉭(tantalum boron oxide)系材料,如TaBO;且當吸收層110包含TaN時,ARC層112可包含氧化及氮化之鉭系材料,如TaON或TaO。
形成於吸收膜堆疊116上的已圖案化光阻層114具有開口118,形成於已圖案化光阻層114中的開口118暴露了吸收膜堆疊116的若干部分120用於蝕刻。可藉由氣體混合物來圖案化光阻層114的開口118,氣體混合物包括至少一H2氣體及N2氣體。在光阻層114的圖案化期間,可將RF源功率供應至形成於處理腔室(如將參照第2圖而於下文中進一步討論的蝕刻反應器200)中的線圈,伴隨或不伴隨施加偏壓RF功率,以蝕刻光阻層114來形成光阻層114中的開口118。 光阻層114可包含任何合適的光敏性光阻材料,如電子束光阻劑(例如,化學放大光阻劑(chemically amplified resist,CAR)),並可以任何合適的方式沉積及圖案化光阻層。光阻層可被沉積達介於約100nm與約1000nm之間的厚度。
光罩基板102可被傳送至蝕刻處理腔室,如蝕刻反應器200,以進行蝕刻製程。蝕刻製程可被進行來蝕刻吸收膜堆疊116及/或視情況蝕刻透過光阻層114所界定之開口118而暴露的覆蓋層108。如第1B及1C圖所示,蝕刻製程可被進行來蝕刻ARC層112及吸收層110,直到依序暴露吸收層110及覆蓋層108的下方表面122、124為止。可視需求使用一個製程步驟(如單一蝕刻劑化學物質)繼續蝕刻ARC層112及吸收層110,或在一個或不同的蝕刻處理腔室中藉由多重步驟個別蝕刻ARC層112及吸收層110。在一個示範實施例中,本文所描述之用於蝕刻ARC層112及吸收層110的蝕刻製程是在單一腔室中使用多重步驟來進行。來自光阻層114的圖案經由蝕刻製程而被轉移進入吸收膜堆疊116。由於ARC層112及吸收層110由類似材料所製成,蝕刻氣體混合物經配置而具有高蝕刻能力來蝕刻具有類似特性的不同材料,同時維持對下方覆蓋層108的高選擇性,以維持期望的側壁輪廓。針對ARC層112及吸收層110之蝕刻製程的細節將參照第3圖在下文進一步描述。
隨後,可進行反射性多重-材料層蝕刻製程,以視需求蝕刻反射性多重材料層106來完成特徵轉移製程(feature transfer process),以將特徵轉移進入膜堆疊100。
第2圖描繪蝕刻反應器200的概要圖。可適用來與本文所揭露之教示一起使用的合適反應器包括,例如,解耦電漿源(DP)II反應器,或Tetra I、Tetra II、Tetra X光罩蝕刻系統,上述所有反應器皆可購自加州聖大克勞拉市的美商應用材料股份有限公司。本文所示之反應器200的特定實施例是基於解說目的而提供,且不應被用來限制本發明之範疇。可考慮將本發明應用在其它電漿處理腔室,包括那些來自其它製造商者。
反應器200通常包含處理腔室202及控制器246,處理腔室202具有位在導電主體中的基板支座224。導電主體包括由平坦的介電質天花板或蓋體208所封圍之腔室壁209及底部204。腔室202可具有其它類型的天花板,例如,圓頂形狀的天花板。腔室202可透過腔室壁209耦接至接地端206。
天線210設置在天花板208上方並包含一或多個感應線圈元件,可選擇性地控制所述感應線圈元件(第2圖中顯示兩個同軸元件210a及210b)。天線210透過第一匹配網路214耦接至電漿功率源212,在範圍自約100Hz至約50kHz(如約13.56MHz)的可調變頻率下,電漿功率源212典型地能輸出達約3000瓦。
基板支座(陰極)224透過第二匹配網路242透過第一連接線路251耦接至偏壓功率源240。第二網路242可進一步透過第二連接線路253耦接至腔室壁209並耦接至接地端206。相較之下,自偏壓功率源204供應至腔室壁209至接地端206的偏壓功率可與供應至基板支座(陰極)224的偏壓功率 可視需求而配置成實質上相同、相似或不同,以符合不同製程要求。偏壓功率源240通常為在介於約100K Hz與約60M Hz(如將近13.56MHz)下可達約500瓦之功率源,偏壓功率源240能產生連續或脈衝式的偏壓功率。或者,偏壓功率源240可為DC或脈衝式DC源。在某些不需要偏壓功率的例子中,偏壓功率源240也可透過第二匹配網路242由腔室壁209接地至接地端206。
在一個實施例中,基板支撐支座224包含靜電卡盤260,靜電卡盤260具有至少一個夾持電極232,並由卡盤電源供應器266控制。在替代的實施例中,基板支座224可包含基板固持機構,如承受器夾持環(susceptor clamp ring)、機械式卡盤等。
可用標線片連接器(reticle adapter)282將基板(例如,光罩或標線片)(如第1A至1C圖所描繪之基板102)固定至基板支撐支座224上。標線片連接器282通常包括下部分284及頂部分286,下部分284可覆蓋支座224的上表面(例如,靜電卡盤260),且頂部分286具有開口288,開口288經調整尺寸並造形以固持基板102。開口288通常實質上位於支座224的中央。連接器282通常由單片抗蝕刻、抗高溫材料(如聚亞醯胺陶瓷或石英)所形成。邊緣環226可覆蓋連接器282及/或將連接器282固定至支座224。
舉升機構238可用來降低或升高連接器282及基板102至基板支撐支座224上或脫離基板支撐支座224。舉升機構238包含複數個舉升銷230(圖示一個舉升銷),舉升銷230 透過對應的導引孔236行進。
配氣板(gas panel)220耦接至處理腔室202,以提供處理氣體及/或其它氣體至處理腔室202的內部。在第2圖所描繪的實施例中,配氣板220耦接一或多個入口216,入口216形成於腔室202的側壁204中之通道218中。可考慮在其它位置提供一或多個入口216,例如,在處理腔室202的天花板208中。
在一個實施例中,配氣板220適於提供氟化製程氣體經過入口216並進入處理腔室202的本體內部。在處理期間,電漿可由製程氣體形成,並透過感應耦合來自電漿功率源212的電力來維持。電漿可替代地在遠端形成,或由其它方法引發。在一個實施例中,自配氣板220提供的製程氣體包括至少一種氟化氣體及含碳氣體、氧氣及含氯氣體。氟化及含碳氣體包括CHF3及CF4。其它氟化氣體可包括C2H2F2、C2F、C2F6、C4F6、C4F8、C3F8、SF6及C5F8中之一或多種。含氧氣體的範例包括O2、CO2、CO、N2O、NO2、O3、H2O等。含氯氣體的範例包括Cl2、CCl4、CHCl3、CH2Cl2、CH3Cl等。
可使用節流閥262及真空泵264來控制處理腔室202中的壓力。真空泵264及節流閥262能將腔室壓力保持在約0.2毫托耳至約20毫托耳的範圍內。
在運作中,可藉由穩定基板支座224的溫度來控制基板102的溫度。在一個實施例中,基板支撐支座224包含電阻式加熱器244及散熱器228。電阻式加熱器244通常包含至少一個加熱元件234,並受到加熱器電源供應器268調控。
可選的離子-自由基遮蔽件(ion-radical shield)270設置於腔室202中的支座224上方。離子-自由基遮蔽件270與腔室側壁204及支座224電性隔離,使得沒有自板至接地端的接地路徑被提供。離子-自由基遮蔽件270的一個實施例包含實質上的平板272及支撐板272的複數個支腳276。板272可由各種與製程需求相容的材料所製作,板272包含一或多個開口(孔洞)274,開口274可界定板272中的期望開放區域(open area)。此開放區域控制從處理腔室202的上方製程容積278中形成之電漿通往下方製程容積280的離子量,下方製程容積280位在離子-自由基遮蔽件270與基板102之間。開放區域越大,越多離子可通過離子-自由基遮蔽件270。就此而言,孔洞274的尺寸可控制容積280中的離子密度,且遮蔽件270可作為離子過濾器。板272也可包含篩(screen)或網(mesh),其中篩或網的開放區域對應孔洞274所提供的期望開放區域。或者,也可使用板及篩或網的組合。
在處理期間,在板272的表面上所發展的電位是來自電漿之電子轟擊的結果。電位可吸引來自電漿的離子,而在容許中性物種(例如,自由基)通過板272的孔洞274的同時,有效地自電漿過濾所述離子。因此,藉由減少經過離子-自由基遮蔽件270的離子量,可以更受到控制的方式以中性物種或自由基對遮罩進行蝕刻。如此一來可減少對光阻劑的侵蝕,也可減少光阻劑濺鍍至經圖案化材料層的側壁上,因此獲得增進的蝕刻偏差(etch bias)及臨界維度均勻性。
第3圖為用於蝕刻ARC層及吸收層之方法300的一 個實施例之流程圖,ARC層及吸收層形成於設置在光罩上之膜堆疊中,如第1A至1C圖所描繪的膜堆疊100之ARC層112及吸收層110。儘管以下描述的方法300與用來製造光罩之基板有關,但方法300也可有利於其它光罩蝕刻或任何蝕刻應用。
當光罩基板102被傳送至支撐支座224並安置於支撐支座224上時,方法300開始於方塊302,方法300可以電腦可讀取形式儲存於控制器246的記憶體248中或其它儲存媒介中,而支撐支座224設置於蝕刻反應器(如第2圖所描繪之蝕刻反應器200)中。在一個實施例中,光罩基板102安放於連接器282的開口288中。如上所述,光罩基板102包括透光矽系材料,如石英(即,二氧化矽(SiO2))層,光罩基板102具有ARC層112及吸收層110設置於光罩基板102上,藉由已圖案化光阻層可暴露ARC層112的部分以用於蝕刻,如第1A圖所示。已圖案化光阻層114可作為遮罩層,以在ARC層蝕刻製程期間保護ARC層112的某些部分不被蝕刻。如上所討論,由於ARC層112可能具有與吸收層110相似的膜特性,蝕刻ARC層的製程需要具有高度選擇性以免在蝕刻期間攻擊由已圖案化光阻層114所界定的ARC層112的側壁。因此,經恰當選擇的製程參數伴隨著氣體混合物被選用來蝕刻ARC層112及吸收層110,所述製程參數及氣體混合物具有蝕刻ARC層112及後續的吸收層110的高度能力,同時對暴露的側壁及下方覆蓋層108具有高度選擇性。
於方塊304,可進行第一蝕刻製程以蝕刻ARC層 112,如第1B圖所示。在一個實施例中,可供應第一蝕刻氣體混合物進入蝕刻反應器,以蝕刻由已圖案化光阻層114所暴露之ARC層112的部分120,直到下方吸收層110暴露為止。
在一個實施例中,第一蝕刻氣體混合物包括至少一種含氟氣體、含氯氣體及/或含溴氣體或其它合適的積極性蝕刻劑(aggressive etchant)。含氟氣體的合適範例包括CF4、CHF3、CH2F2、C2F6、C2F8、SF6、NF3、C4F8等。含氯氣體的範例包括Cl2、HCl、SiCl4、BCl3、CCl4、CHCl3、CH2Cl2、CH3Cl、上述氣體之組合等。含溴氣體的範例包括HBr、Br2、上述氣體之組合等。由於氟元素為積極性蝕刻劑,蝕刻氣體混合物中所供應的含氟氣體被利用來蝕刻去除ARC層112的部分,以將期望的特徵自光阻層114轉移進入ARC層112。
在替代的實施例中,也可視需求將如O2、N2、N2O、NO2、H2O、O3等的含氧氣體及惰性氣體供應入蝕刻氣體混合物,以協助輪廓控制。於氣體混合物中供應之惰性氣體的範例包括Ar、He、Ne、Kr、Xe等。
在一個特定實施例中,第一氣體混合物可包括C4F8、C4F8及He。在示範實施例中,在蝕刻氣體混合物中所供應的含氟氣體及惰性氣體的流量比例介於約百分之5與約百分之80之間。或者,可以介於約1sccm與約200sccm之間的體積流速供應含氟氣體。可以介於約2sccm與約150sccm之間的流速供應He氣體。
在供應第一蝕刻氣體混合物進入處理腔室之後,可 供應RF功率以自其中的第一氣體混合物形成電漿。可將介於約50瓦及約3000瓦之間且處在介於約100K Hz與約60M Hz之間(如約13.56MHz)的頻率下之RF源功率供應至第一蝕刻氣體混合物。
也可供應偏壓功率來控制處理腔室中所產生之電漿的方向,以便控制電漿中之離子的垂直軌跡。可供應介於約5瓦與約500瓦之間的偏壓功率。在一個實施例中,可在介於約500Hz與約10kHz之間的RF頻率下脈衝式供應具有介於約百分之10至約百分之90的工作週期之RF偏壓功率。
為了在蝕刻期間對特徵輪廓及臨界維度(critical dimension)提供良好控制,可以減少對基板之微負載效應(micro-loading effect)的方式來控制所施加的偏壓功率。在處理期間,靜電電荷可累積於基板表面上。在基板表面上過量累積的電荷可能導致跨越基板表面之不均勻電漿分佈,從而導致基板表面上之不均勻蝕刻結果。進一步,累積於基板上的過量電荷也可導致蝕刻製程期間的有些時候產生電弧作用,電弧作用不僅會對基板造成傷害,也可對腔室部件造成傷害,而不利地縮短腔室部件的壽命。因此,可利用施加至腔室不同部件的複數個偏壓功率脈衝來有效率地減少電荷累積。
在一個實施例中,在第一蝕刻製程期間可將至少兩種形態的偏壓功率施加至處理腔室。在蝕刻製程的初始階段中,可利用供應至基板支座224之第一形態的偏壓功率(如,接近基板),將電漿中所產生的離子導引至朝向基板表面的方 向,以便進行非等向性蝕刻製程。在進行蝕刻製程達第一時間段之後,靜電電荷可能產生並累積於基板表面上。因此,可利用第二形態的偏壓功率,即經由腔室壁209施加至接地端206的偏壓功率(如,脫離基板),達第二時間段,以協助將累積電荷移除脫離基板支座224,從而降低基板表面上的電荷累積。可以脈衝模式或連續模式將第一形態及第二形態的RF偏壓功率二者施加至處理腔室。在一個實施例中,第一形態及第二形態的RF偏壓功率被以脈衝模式施加至處理腔室。可在介於約100K Hz與約60M Hz之間(如約13.56MHz)的RF頻率下脈衝式供應具有介於約百分之10至約百分之90的工作週期之複數個RF偏壓功率。
藉由利用施加至不同部件的複數個偏壓功率,在電漿蝕刻製程期間所產生的靜電電荷可被有效率地導引脫離基板表面,從而降低傷害基板及腔室部件(例如,製程套件)二者的可能性。在一個實施例中,可施加並以脈衝方式供應第一形態的偏壓功率(如,供應至基板支座的偏壓功率)達第一時間段,第一時間段介於約50奈秒與約1000奈秒之間。隨後,可施加並以脈衝方式供應第二形態的偏壓功率(如,經由腔室壁施加至接地端的偏壓功率)達第二時間段,第二時間段介於約50奈秒與約1000奈秒之間。在一個實施例中,第一時間段與第二時間段的比例被控制在介於約1:9與約4:1之間。可重複並交替實施第一形態及第二形態的RF偏壓功率,直到將ARC層112蝕刻脫離基板102暴露下方吸收層110為止,如第1B圖所示。
在一個實施例中,所脈衝之第一形態的RF功率可被控制在介於約5瓦與約600瓦之間,且所脈衝之第二形態的RF功率可被控制在介於約5瓦與約600瓦之間。
也可在供應第一蝕刻氣體混合物的同時控制數個製程參數,以進行蝕刻製程。可將處理腔室的壓力控制在介於約0.2毫托耳與約20毫托耳之間。
於方塊306,可進行第二蝕刻製程以蝕刻吸收層110,如第1C圖所示。在一個實施例中,可供應第二蝕刻氣體混合物進入蝕刻反應器,以蝕刻由已圖案化光阻層114暴露之吸收層110的部分122,直到下方覆蓋層108暴露為止。
在一個實施例中,第二蝕刻氣體混合物包括至少一種含氟氣體、含氯氣體及/或含溴氣體或其它合適的積極性蝕刻劑。含氟氣體的合適範例包括CF4、CHF3、CH2F2、C2F6、C4F8、SF6、NF3等。含氯氣體的範例包括Cl2、HCl、SiCl4、BCl3、CCl4、CHCl3、CH2Cl2、CH3Cl、上述氣體之組合等。含溴氣體的範例包括HBr、Br2、上述氣體之組合等。由於氟元素為積極性蝕刻劑,蝕刻氣體混合物中所供應的含氟氣體被利用來蝕刻去除吸收層110的部分,以在吸收層110內形成期望的特徵。
在替代的實施例中,也可視需求與蝕刻氣體混合物一起供應含氧氣體,如O2、N2、N2O、NO2、H2O、O3等,及惰性氣體,以協助輪廓控制。在氣體混合物中供應之惰性氣體的範例包括Ar、He、Ne、Kr、Xe等。
在一個特定實施例中,第二氣體混合物可包括Cl2。 在示範實施例中,在第二蝕刻氣體混合物中所供應的含氯氣體及惰性氣體的流量比例介於約百分之5與約百分之80之間。或者,可以介於約10sccm與約200sccm之間的體積流速供應含氟氣體。可以介於約2sccm與約150sccm之間的流速供應惰性氣體。
在將第二蝕刻氣體混合物供應進入處理腔室之後,可供應RF源功率以自其中的第二氣體混合物形成電漿。可將介於約5瓦及約200瓦之間且處在介於約100K Hz與約60M Hz之間(如約13.56MHz)的頻率下之RF源功率供應至第二蝕刻氣體混合物。
也可供應偏壓功率以控制處理腔室中所產生之電漿的方向,以便控制電漿中之離子的垂直軌跡。可供應介於約10瓦與約500瓦之間的偏壓功率。在一個實施例中,可在介於約100K Hz與約60M Hz之間(如約13.56MHz)的頻率下脈衝式供應具有介於約百分之10至約百分之90的工作週期之RF偏壓功率。
類似於上文在用於蝕刻ARC層112之方塊304的描述,當蝕刻吸收層110時,靜電電荷也可能在處理期間累積於基板表面上。因此,可利用施加到腔室的不同部件之複數個偏壓功率脈衝,以有效率地減少在方塊306之吸收層蝕刻製程期間所累積的電荷。
在一個實施例中,於第二蝕刻製程期間,至少兩種形態的偏壓功率被施加至處理腔室。在蝕刻製程的初始階段中,可利用第三形態的偏壓功率,即類似於方塊304之第一 形態的偏壓功率施加至基板支座224的偏壓功率(如,接近基板),將電漿中所產生的離子導引至朝向基板表面的方向,以便進行非等向性蝕刻製程。在進行蝕刻製程達第三時間段之後,靜電電荷可能累積於基板表面上。因此,可利用第四形態的偏壓功率,即類似於方塊304之第二形態的偏壓功率經由腔室壁209施加至接地端206的偏壓功率(如,脫離基板),達第四時間段,以協助將累積電荷移除脫離基板支座224,從而降低基板表面上的電荷累積。可以脈衝模式或連續模式將第三形態及第四形態的RF偏壓功率二者施加至處理腔室。在一個實施例中,第三形態及第四形態的RF偏壓功率被以脈衝模式施加至處理腔室。可在介於約100K Hz與約60M Hz之間(如約13.56MHz)的頻率下脈衝式供應具有介於約百分之10至約百分之90的工作週期之複數個RF偏壓功率。
藉由利用施加至不同腔室部件的複數個偏壓功率,在電漿蝕刻製程期間所產生的靜電電荷可被有效率地導引脫離基板表面,從而降低傷害基板及腔室部件(例如,製程套件)二者的可能性。在一個實施例中,第三形態的偏壓功率(如,施加至基板支座的偏壓功率)可被施加並脈衝達介於約50奈秒與約1000奈秒之間的第三時間段。隨後,第四形態的偏壓功率(如,經由腔室壁供應至接地端的偏壓功率)可被施加並脈衝達介於約50奈秒與約1000奈秒之間的第四時間段。在一個實施例中,第三時間段與第四時間段的比例被控制在介於約1:9與約4:1之間。可重複並交替實施第三形態及第四形態的RF偏壓功率,直到將吸收層110蝕刻脫離基板102暴 露下方覆蓋層108為止,如第1C圖所示。
在一個實施例中,所脈衝之第三形態的RF功率可受控制在介於約5瓦與約600瓦之間,且所脈衝之第四形態的RF功率可受控制在介於約5瓦與約600瓦之間。
數個製程參數也可受到控制,同時供應第二蝕刻氣體混合物以進行蝕刻製程。處理腔室的壓力可被控制在介於約0.2毫托耳與約20毫托耳之間。
相較於在方塊304處之ARC層112的蝕刻期間所施加之第一及第二偏壓功率,在方塊306處之吸收層110的蝕刻期間所施加之第三及第四偏壓功率受控制而相對較高。在特定的實施例中,當吸收層110及ARC層112經配置而皆在單一處理腔室中被蝕刻時,相較於就蝕刻吸收層而言的終點(例如,在蝕刻覆蓋層108之前),就蝕刻ARC層112而言的終點(例如,在蝕刻吸收層110之前)較不關鍵。因此,在此例子中,可利用較高的RF偏壓功率來蝕刻ARC層112,以增進製程蝕刻速率而增加製程產量。在蝕刻去除ARC層112之後,接著可利用相對較低的RF偏壓功率以較少的離子轟擊來蝕刻吸收層110,以便提供對下方覆蓋層108具有高選擇性的溫和蝕刻製程。在一個實施例中,於方塊304之ARC層112蝕刻期間所施加的第三及第四偏壓功率被控制在低於方塊306之吸收層110蝕刻期間所施加的第一及第二偏壓功率約百分之50與約百分之200之間。
於方塊304及306二者之蝕刻製程的終點可由時間模式或其它合適的方法控制。舉例而言,可在進行約50秒至 約500秒直到自光罩基板102分別移除ARC層112及吸收層110或覆蓋層108的下方表面暴露之後,終止方塊304及306二者之蝕刻製程。在另一個實施例中,可由來自終點偵測器的判斷而中止蝕刻製程,終點偵測器如光學發射光譜偵測器或視需求的其它合適偵測器。
於方塊308,在膜堆疊100之期望輪廓及/或結構形成於光罩基板102上之後,可據此移除光阻層114。在一個實施例中,可藉由灰化來移除餘留的光阻及保護層。移除製程可在進行方塊302至方塊306之蝕刻製程的蝕刻反應器200中原位進行。在光阻層114於蝕刻製程期間消耗殆盡的實施例中,可視需求取消灰化或光阻層移除製程。
因此,本發明提供了用於蝕刻ARC層及吸收層的方法,該方法有利地增進了溝槽屬性及輪廓並較習知製程具有更高的選擇性。因此,本文所述之蝕刻ARC層及吸收層的方法有利地促進光罩的製造,所述光罩適於在EUV技術中圖案化具有小的臨界維度之特徵。
儘管上述是直接相關於本發明的實施例,本發明之其它和進一步的實施例可在不背離本發明的基本範疇而被發明,且本發明的範疇係在隨後的申請專利範圍中界定。
300‧‧‧方法
302~308‧‧‧方塊

Claims (21)

  1. 一種蝕刻設置於一光罩上之一ARC層或一吸收層的方法,該方法包含下列步驟:傳送一膜堆疊進入一蝕刻腔室,該膜堆疊具有透過一已圖案化層而部份暴露之一ARC層或一吸收層;提供一氣體混合物進入一處理腔室,該氣體混合物包括至少一種含氟氣體;施加一來源RF功率,以自該氣體混合物形成一電漿;施加一第一形態的RF偏壓功率至該基板達一第一時間段;施加脫離該基板之一第二形態的RF偏壓功率達一第二時間段;以及在該電漿存在的情況下,透過該已圖案化層蝕刻該ARC層或該吸收層。
  2. 如請求項1所述之方法,其中ARC層或吸收層包括至少一個含鉭層。
  3. 如請求項1所述之方法,其中該基板包括該ARC層,該ARC層設置於該吸收層上。
  4. 如請求項1所述之方法,其中施加該第一形態的RF偏壓功率包含下列步驟:施加該第一形態的RF偏壓功率至一基板支撐件,該基板 支撐件設置於該處理腔室中。
  5. 如請求項1所述之方法,其中施加該第二形態的RF偏壓功率包含下列步驟:施加該第二形態的RF偏壓功率經過該處理腔室之一腔室壁至接地端。
  6. 如請求項1所述之方法,其中該第一時間段對該第二時間段之一時間段比例被控制在介於約1:9與約4:1之間的比例。
  7. 如請求項1所述之方法,其中以脈衝模式施加該第一形態的RF偏壓功率及該第二形態的RF偏壓功率。
  8. 如請求項1所述之方法,其中該吸收層係一TaN層或TaBN層,且該ARC層係一TaO或TaBO層。
  9. 如請求項1所述之方法,其中施加該來源RF功率進一步包含下列步驟:提供介於約50瓦至約3000瓦之間的一電漿源功率。
  10. 如請求項1所述之方法,其中施加該第一形態的RF偏壓功率進一步包含下列步驟:提供介於約5瓦至約600瓦之間的一第一電漿偏壓功率。
  11. 如請求項1所述之方法,其中施加該第二形態的RF偏壓功率進一步包含下列步驟:提供介於約5瓦至約600瓦的一第二電漿偏壓功率。
  12. 一種蝕刻設置於一光罩上之一ARC層或一吸收層的方法,該方法包含下列步驟:傳送一膜堆疊進入一蝕刻腔室,該膜堆疊具有設置在一吸收層上之一ARC層,該ARC層透過一已圖案化光阻層而部份暴露;提供一第一氣體混合物進入一處理腔室,該第一氣體混合物包括至少一種含氟氣體;施加一第一來源RF功率,以自該第一氣體混合物形成一電漿,以蝕刻該ARC層;施加一第一形態的RF偏壓功率至該基板達一第一時間段;施加脫離該基板之一第二形態的RF偏壓功率達一第二時間段;以及在該電漿存在的情況下,透過該已圖案化光阻層蝕刻該ARC層。
  13. 如請求項12所述之方法,進一步包含下列步驟:提供一第二氣體混合物進入該處理腔室,該第二氣體混合物包括至少一種含氯氣體; 施加一第二來源RF功率,以自該第一氣體混合物形成一電漿,以蝕刻該ARC層;施加一第三形態的RF偏壓功率至該基板達一第三時間段;施加脫離該基板之一第四形態的RF偏壓功率達一第四時間段;以及在該電漿存在的情況下,透過該已圖案化光阻及該ARC層蝕刻該吸收層。
  14. 如請求項13所述之方法,其中該第一RF偏壓功率高於該第三RF偏壓功率。
  15. 如請求項13所述之方法,其中該第一RF偏壓功率比該第三RF偏壓功率高約百分之50至約百分之200。
  16. 如請求項12所述之方法,其中該第一時間段對該第二時間段被控制在介於約1:9與約4:1之間的比例。
  17. 如請求項13所述之方法,其中該第三時間段對該第四時間段被控制在介於約1:9與約4:1之間的比例。
  18. 如請求項12所述之方法,其中該第一形態的RF偏壓功率係施加至一基板支撐件,該基板支撐件設置於該處理腔室中,且該第二形態的RF偏壓功率係經過該處理腔室之一腔室 壁施加至接地端。
  19. 如請求項13所述之方法,其中該第三形態的RF偏壓功率係施加至一基板支撐件,該基板支撐件設置於該處理腔室中,且該第四形態的RF偏壓功率係經過該處理腔室之一腔室壁施加至接地端。
  20. 如請求項12所述之方法,其中該膜堆疊進一步包括一覆蓋層設置於一多重反射材料層上,該多重反射材料層設置於該基板與該吸收層之間。
  21. 一種蝕刻設置於一光罩上之一ARC層及一吸收層的方法,該方法包含下列步驟:傳送一膜堆疊進入一蝕刻腔室,該膜堆疊具有一ARC層設置於一吸收層上,該ARC層透過一已圖案化光阻層而部份暴露;提供一第一氣體混合物進入一處理腔室,該第一氣體混合物包括至少一種含氟氣體;施加一第一形態的RF偏壓功率至該基板達一第一時間段;施加脫離該基板之一第二形態的RF偏壓功率達一第二時間段;在該電漿存在的情況下,透過該已圖案化光阻層蝕刻該ARC層; 提供一第二氣體混合物進入相同之該處理腔室,該第二氣體混合物包括至少一種含氟氣體;施加一第三形態的RF偏壓功率至該基板達一第三時間段;施加脫離該基板之一第四形態的RF偏壓功率達一第四時間段,其中該第一RF偏壓功率高於該第三RF偏壓功率。
TW102141290A 2012-11-30 2013-11-13 蝕刻用於形成光罩的極紫外光材料層的方法 TW201439668A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261731874P 2012-11-30 2012-11-30
US13/750,888 US8778574B2 (en) 2012-11-30 2013-01-25 Method for etching EUV material layers utilized to form a photomask

Publications (1)

Publication Number Publication Date
TW201439668A true TW201439668A (zh) 2014-10-16

Family

ID=50825768

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102141290A TW201439668A (zh) 2012-11-30 2013-11-13 蝕刻用於形成光罩的極紫外光材料層的方法

Country Status (3)

Country Link
US (1) US8778574B2 (zh)
TW (1) TW201439668A (zh)
WO (1) WO2014085037A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI705483B (zh) * 2017-11-12 2020-09-21 台灣積體電路製造股份有限公司 接地頂蓋模組、氣體注入裝置及蝕刻設備
CN111902910A (zh) * 2018-05-09 2020-11-06 应用材料公司 用于图案化具有所需尺寸的材料层的方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10553428B2 (en) * 2017-08-22 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflection mode photomask and fabrication method therefore
US11106126B2 (en) * 2018-09-28 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing EUV photo masks
DE102019110706B4 (de) * 2018-09-28 2024-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum Herstellen von EUV-Fotomasken sowie Ätzvorrichtung
US11221554B2 (en) 2020-01-17 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination
US11915932B2 (en) 2021-04-28 2024-02-27 Applied Materials, Inc. Plasma etching of mask materials
US20220364230A1 (en) * 2021-05-12 2022-11-17 Applied Materials, Inc. Pulsing plasma treatment for film densification

Family Cites Families (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
DE3743938C2 (de) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
GB2237303A (en) 1989-10-28 1991-05-01 Services Tech Sedco Forex Method of quantitative analysis of drilling fluid products
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
JP3047541B2 (ja) 1991-08-22 2000-05-29 株式会社日立製作所 反射型マスクおよび欠陥修正方法
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
AU6631298A (en) 1997-03-03 1998-09-22 Adcock Ingram Limited A composition comprising a carrier and a purified mycobacterial lipid cell-wall component and its use in the prevention, treatment and diagnosis of disease
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5866795A (en) 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
ES2240596T3 (es) 1997-07-09 2005-10-16 Mitsui Chemicals, Inc. Procedimiento para la preparacion de hidroperoxidos.
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100282853B1 (ko) 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
KR100267885B1 (ko) 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6358629B1 (en) 1999-03-31 2002-03-19 Mitsubishi Denki Kabushiki Kaisha Epoxy resin composition and semiconductor device using the same
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
IT1312358B1 (it) 1999-06-17 2002-04-15 Stoppani Luigi Spa Addotto della vitamina k,particolarmente idoneo come integratorevitaminico per mangimi
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6732391B2 (en) 1999-08-11 2004-05-11 Comfort Research, Llc Frameless chair
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6472107B1 (en) 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100330749B1 (ko) 1999-12-17 2002-04-03 서성기 반도체 박막증착장치
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100705926B1 (ko) 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
FI118474B (fi) 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100332314B1 (ko) 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
KR100436941B1 (ko) 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR100434487B1 (ko) 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
JP2002262392A (ja) 2001-02-28 2002-09-13 Pioneer Electronic Corp 蝶ダンパ
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6583068B2 (en) 2001-03-30 2003-06-24 Intel Corporation Enhanced inspection of extreme ultraviolet mask
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
JP4680429B2 (ja) 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
US6913706B2 (en) 2002-12-28 2005-07-05 Intel Corporation Double-metal EUV mask absorber
WO2004102279A2 (en) 2003-05-19 2004-11-25 Koninklijke Philips Electronics N.V. Method of manufacturing an electronic device
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7771895B2 (en) 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks
JP4602430B2 (ja) 2008-03-03 2010-12-22 株式会社東芝 反射型マスク及びその作製方法
KR101485754B1 (ko) 2008-09-26 2015-01-26 주식회사 에스앤에스텍 극자외선용 블랭크 마스크 및 이를 이용하여 제조되는 포토마스크
JP2010109336A (ja) 2008-10-04 2010-05-13 Hoya Corp 反射型マスクの製造方法
JP2010109164A (ja) 2008-10-30 2010-05-13 Toshiba Corp Euvマスクの欠陥修正方法
JP6013720B2 (ja) * 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
KR20130005804A (ko) * 2011-07-07 2013-01-16 삼성전자주식회사 자기 터널 접합 구조체의 제조 방법

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI705483B (zh) * 2017-11-12 2020-09-21 台灣積體電路製造股份有限公司 接地頂蓋模組、氣體注入裝置及蝕刻設備
US10818479B2 (en) 2017-11-12 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Grounding cap module, gas injection device and etching apparatus
CN111902910A (zh) * 2018-05-09 2020-11-06 应用材料公司 用于图案化具有所需尺寸的材料层的方法

Also Published As

Publication number Publication date
WO2014085037A1 (en) 2014-06-05
US8778574B2 (en) 2014-07-15
US20140154615A1 (en) 2014-06-05

Similar Documents

Publication Publication Date Title
EP1686421B1 (en) Method for plasma etching a chromium layer suitable for photomask fabrication
US7771895B2 (en) Method of etching extreme ultraviolet light (EUV) photomasks
US8778574B2 (en) Method for etching EUV material layers utilized to form a photomask
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
US7790334B2 (en) Method for photomask plasma etching using a protected mask
US10199224B2 (en) Method for improving CD micro-loading in photomask plasma etching
US20130092655A1 (en) Method for etching an euv reflective multi-material layers utilized to form a photomask
JP2006215552A5 (zh)
JP2007096295A (ja) フォトマスクを製造するのに適した炭素ハードマスクを介してクロム層をプラズマエッチングする方法
EP1679741A1 (en) Method of quartz etching
US7771894B2 (en) Photomask having self-masking layer and methods of etching same
JP5036726B2 (ja) フォトリソグラフィ用基体の改善されたエッチング方法
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control
US9250514B2 (en) Apparatus and methods for fabricating a photomask substrate for EUV applications