TW201139580A - Process for removing a bulk material layer from a substrate and a chemical mechanical polishing agent suitable for this process - Google Patents

Process for removing a bulk material layer from a substrate and a chemical mechanical polishing agent suitable for this process Download PDF

Info

Publication number
TW201139580A
TW201139580A TW099141145A TW99141145A TW201139580A TW 201139580 A TW201139580 A TW 201139580A TW 099141145 A TW099141145 A TW 099141145A TW 99141145 A TW99141145 A TW 99141145A TW 201139580 A TW201139580 A TW 201139580A
Authority
TW
Taiwan
Prior art keywords
group
mechanical polishing
chemical mechanical
polishing agent
mrr
Prior art date
Application number
TW099141145A
Other languages
English (en)
Other versions
TWI638019B (zh
Inventor
Vijay Immanuel Raman
Yuzhuo Li
Mario Brands
yong-qing Lan
Kenneth Rushing
Karpagavalli Ramji
Original Assignee
Basf Se
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Basf Se filed Critical Basf Se
Publication of TW201139580A publication Critical patent/TW201139580A/zh
Application granted granted Critical
Publication of TWI638019B publication Critical patent/TWI638019B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Composite Materials (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Description

201139580 六、發明說明: 【發明所屬之技術領域】 本發明係關於一種從基板移除基體材料層之新穎方法 及一種適合此方法之化學機械拋光劑。 引用之文件 本申請案中所引用之文件皆以全文引用之方式併入。 【先前技術】 積體電路(ic)由結構化之半導電、非導電及導電薄 層組成。該等經圖案化之層通常係藉由例如氣相沈積施加 層材料且利用微影蝕刻法使其圖案化來製備。藉助於各種 半導電、非導電及導電材料層之組合,可製造出諸如電晶 體、電容器、電阻器及配線之電子電路元件。 1C及其功能之品質尤其取決於可施加及圖案化各種層 材料之精確度。 然而,隨著層數目增加,該等層之平坦度顯著降低。 此導致1C之一或多個功能元件失效,且因此在達到特定層 數目後導致成品1C失效。 該等層之平坦性降低係由在已圖案化之層上方構建新 層所造成。藉由圖案化,將產生每層可合計達〇6 Am之高 度差異。各層之間的該等高度差異相加且導致下一層不; 再施加於平坦表面上,而僅可施加於不平坦之表面上 一個結果為隨後施加之層具有不規則厚度。 7 又在極端情況 ’會w成電子功能元件中出現瑕㉛、缺陷以及缺乏 觸。此外,不平坦之表面導致圖案化出現問 句ί症夠 201139580 產生足夠小之圖案’極敏銳之焦點深度為微影蝕刻方法步 驟中之必要條件。然而,該等圖案僅可於平坦表面上敏銳 地成像。位置偏離平坦度愈多,則影像變得愈模糊。 為了解決此問題’將實施所謂之化學機械拋光 (chemical mechanical polishing,CMP)。CMP 藉由移除層 之突起特徵直至獲得平坦層來使圖案化表面達到完全平坦 化。因此,可在不展現高度差異之平坦表面上方進行後續 構建’且1C各元件之圖案化精確性及功能精確性得以維持。 完全平坦化之典型實例為介電質CMP、磷化鎳CMP及 矽(silicium)或聚矽 CMP。 除了克服微影難題之完全平坦化之外,亦存在兩種涉 及CMP之其他重要應用。一種應用為製造微結構。此應用 之典型實例為銅CMP、鎢CMP或淺溝槽隔離(shall〇w忖印讣 isolation,STI ) CMP,詳言之,下文所述之大馬士革法 (Damascene process)。另一應用為缺陷修正或消除,如例 如藍寶石CMP。 CMP方法步驟係藉助於特殊拋光器、拋光墊及拋光劑 實施,拋光劑在此項技術中亦稱作拋光漿料或CMp漿料。 CMP漿料為一種組成物,其與拋光墊組合來移除欲拋光之 材料。 在擬拋光具有半導體層之晶圓之情況下,對於方法步 驟之精確度要求且因此對於CMP漿料所設定之要求特別嚴 格。 使用一系列參數來評估CMP漿料之效率及特性化其活 201139580 性。材料移除速率(material removal rate,MRR ;亦即, 移除欲拋光之材料之速度)、選擇性(亦即,欲拋光材料之 移除速率與存在之其他材料之移除速率的比率)、晶圓内之 移除均勻度(晶圓内不均勻度,WIWNU ; Within-Wafer-Non-Uniformity )及晶圓間之移除均勻度(晶 圓間不均勻度,WTWNU ; Wafer-to-Wafer-Non-Uniformity) 以及每單位面積之缺陷數目皆歸類於該等參數。 銅大馬士革法愈來愈常用於製造IC (參見例如歐洲專 利申請案EP 1 306 415 A2,第2頁,第[〇〇12]段)。為了製 造銅電路路徑’有必要在此方法中藉助於CMP漿料以化學 機械方式移除銅層’該方法在此項技術中亦稱作「銅CMp 法」。完成之銅電路路徑被嵌埋於介電質中。通常,在鋼與 介電質之間安置通常由钽或氮化鈕組成之障壁層以防止銅 擴散入介電層中。 一般而言,銅大馬士革法需要可提供良好平坦化之 CMP劑(亦即高MRR組合低靜態蝕刻速率ser>典型地, 所需MRR/SER比率為約4〇或4〇以上。此外,銅c⑽劑 之選擇性必須極高,亦即,銅之MRR必須遠高於障壁層之 MRR。典型地,該選擇性須大於1〇〇:1,例如為約⑽ 約 400:1 〇 即使達到此性質特徵’在銅CMp期間亦會出現其他問 :。該等問題係由以下事實造成:在CMp方法結束時之條 牛及要求與開始時之條件及要求不同。 ’、 因此,銅基體在CMP期間被迅速移除。在此方法期間, 201139580 CMP劑之溫度升高,且在該方法結束時,cmp劑之溫度比 開始時高約1(TC至2〇τ。另外,當使用過氧化氫作為氧化 劑時’其分解將因銅濃度增加而加速。另一方面,經拋光 表面上之突起已幾乎消失。總而言之’此使得Cmp漿料比 開始時更具侵繁性。 與此極為不同的是,在CMP方法之最後階段需要更低 之MRR來防止凹陷及/或侵姓(詳言之,在所謂之「過度拋 光」期間)’且因此引起晶圓表面平坦性及均勻度之損失。 通常’需要過度拋光來移除經拋光表面之銅殘餘物。當銅 與障壁之MRR不同且因此移除過多銅而致使特徵中之銅表 面相對於微電子元件晶圓之障壁及/或介電表面凹進時,將 出現凹陷。當移除過多的介電材料時,會出現氧化物侵蝕。 為了改善或完全避免該等問題,已開發出所謂之「軟 著墊(soft landing )」或「著墊(touchdown )」技術。 因此’溫度軟著墊技術涉及冷卻CMP劑及/或CMP塾。 然而’此需要附加設備,此舉將增加製造製程之總成本。 化學軟著塾技術涉及使用兩種CMP劑:在CMP方法開 始時使用之侵襲性CMP劑及在CMP方法結束時使用之侵襲 性較小之CMP劑。該第二種’即侵襲性較小之CMP劑可藉 由稀釋第一種CMP劑(參見美國專利US 7,161,603 B2 )或 藉由添加補充添加劑(參見美國專利申請案 US2008/0254628 A1)獲得。然而’此技術需要特別仔細地 監測CMP製程以便偵測適於施用第二種cmp劑之時間。 機械軟著墊技術涉及降低拋光墊之下壓力及/或旋轉速 201139580 度。藉助於此技術,有可能減少如凹陷及微刮擦之問題並 增強銅/障壁選擇性。然而,由於降低初始下壓力之需求增 加’以致不會存留下壓力間隙來達成機械軟著墊。此外, 由於銅CMP劑具有高化學活性,普雷斯頓反應(Prestonian response )將變得愈來愈小。此外,升級拋光器以處理小於 3·448 kPa ( <〇.5 psi)之下壓力的成本極大。 因此,手邊亟需可避免與先前技術之軟著墊技術相關 之所有缺點的CMP劑及CMP方法。 國際專利申請案WO 2006/074248 A2揭示含有複合非 聚合物有機粒子作為研磨劑之CMP劑。該等複合非聚合物 有機粒子經工程改造以控制在CMp期間發生之事件,其中 該等粒子經歷動態改變且提供習知無機研磨劑粒子不可提 供之功能。根據該申請案,該等粒子充當研磨劑以切開欲 拋光之表面,亦充當載體以傳遞所需成份及/或自經拋光之 表面移出經研磨之材料》較佳地,該等粒子提供與金屬表 面之靜態錯合以及錯合劑濃度之動態增加。對於銅cMP, 較佳將二聚氰胺及其衍生物用作粒子之核結構。相_ cMp 劑可於原位動態地提供所需量之錯合劑。此將根據表面形 貌局部地實ί見。因此,經歷較大局部溫度改變或剪切力的 具有較而形貌之區域將例如藉由形貌突起處粒子之崩解而 動‘!地具有較大量之錯合劑。然而,此機制導致在CMp期 間錯合劑之濃度增加,該行為與軟著塾CMP方法之要求相 反。 除了錯合劑以外,諸如;^ & μ 2 , , 居如何生自苯并三唑之成膜劑、氧 8 201139580 化劑、純化劑或催化劑之多種固體化學組份可囊封於非聚 合物粒子中。非聚合物粒子亦可具有核_殼結構,其中由例 如三聚氰胺組成之核塗佈有固體成膜劑作為殼。然而,此 具體實例亦揭示無軟著墊行為。 本發明之目的 本發月之目的在於提出一種自基板表面移除基體材料 層且藉由化學機械拋光(CMP)使暴露表面平坦化之新穎 方法,該方法不再展現先前技術方法之缺點。 、 詳言之,該新穎方法應允許CMP方法在過度拋光階段 軟著墊,同時使該基板之暴露表面(包括嵌埋於該表面中 之金屬圖案)之刮擦、凹陷及侵蝕明顯減少。尤其在淺溝 槽隔離(STI)方法及銅大馬士革法中應達成該等優點。此 外,應在不使用昂貴之附加及/或改良設備及/或不在一個 CMP製紅中使用至少兩種CMp劑之情況下達成該等優點。 另外本發明之目的在於提供一種新穎的水性化學機 械拋光劑(CMP劑),其可用於自基板表面移除基體材料層 且藉由CMP使暴露表面平坦化之方法中,該新穎CMp劑應 不再展現先前技術CMP劑之缺點。 詳言之,該新穎CMP劑應允許CMP方法在過度拋光階 奴軟著墊,同時使基板之暴露表面(包括嵌埋於該表面中 之金屬圖案)之刮擦、凹陷及侵蝕明顯減少。尤其在STi 方法及銅大馬士革法中應達成該等優點。此外,應在不使 用昂貴之附加及/或改良設備及/或不在一個CMp製程中使 用至少兩種CMP劑之情況下達成該等優點。 201139580 此外’本發明之目的在於提供新穎CMP方法及新穎 CMP劑之新穎用途,其係用於製造電元件及光學元件。 【發明内容】 因此,已發現一種新穎的水性化學機械拋光劑(A ), 其包含至少一種類型之固體粒子(al),該等固體粒子(al) 含有: (a 11 )至少一種金屬腐蝕抑制劑,及 (a 12 )至少一種固體材料, 該等固體粒子(al )係精細地分散於水相中。 在下文中,將該新穎的水性化學機械拋光劑(A )稱作 「本發明之CMP劑」。 因此,已發現一種自基板表面移除基體材料層且藉由 化學機械拋光使暴露表面平坦化之新穎方法,該方法包含 以下步驟: (1 )提供水性化學機械拋光劑(A),其在未添加補 充材料之情況下在化學機械拋光結束時展現: •與其開始時相同或基本上相同之靜態触刻速率SER 及比其開始時低之材料移除速率Mrr, -在結束時比開始時低《SER及相同或基本上相同之 MRR * 或 -比其開始時低之靜態㈣速率SER及較低之材料移 除速率MRR ; (2 )使基體材料層之表面與該水性化學機械拋光劑 (A)接觸; 10 201139580 (3 )利用該水性化學機械拋光劑(A )以化学及機械 方式拋光該基體材料層,同時 -其初始SER保持相同或基本上相同且其初始mrr降 低, -其初始SER降低且其初始MRR保持相同或基本上相 同,或 -其初始SER與其初始MRR兩者均降低, 直至移除基體材料層且暴露出基板表面;及 (4 )利用化學機械抛光劑(a )繼續進行化學機械拋 光,在該方法之此階段,該化學機械拋光劑(A )具有 _與初始SER相同或基本上相同之SER及低於初始 MRR 之 MRR, -低於初始SER之SER及與初始MRR相同或基本上相 同之MRR, -低於初始SER與初始MRR之SER與MRR, 直至所有材料殘餘物皆自暴露表面移除。 在下文中,將自基板表面移除基體材料層且藉由化學 機械拋光使暴露表面平坦化之新穎方法稱作「本發明之方 法j 。 最後但並非最不重要的,已發現本發明之方法及CMP 劑用於製造電元件及光學元件之新顆用途。 本發明之優點 黎於上文讨淪之先前技術,令熟習此項技術者驚奇且
出乎預料的是,本發明、、称A ^月潛在之目的可由本發明之CMP劑、 η 201139580 方法及用途解決β 因此,本發明之CMP劑不再展現先前技術CMP劑之缺 點。詳s之,本發明之CMP劑特別適用於自基板表面移除 基體材料層且藉由CMp使暴露表面平坦化之方法中。就此 點而。,甚至更令人驚奇的是,本發明之CMP劑展現出優 良之軟者塾行為。因此’本發明之CMP劑特別適用於本發 明之CMP方法中。 特定言之,本發明之CMP劑允許CMp方法在過度拋光 P皆段軟著整’同時使基板之暴露表面(包括嵌埋於該表面 中之金屬圓案)之到擦、凹陷及侵蝕明顯減少。尤其在 方法及銅大馬士革法中達成了該等優點。此外,該等優點 係在不使用昂貴之附加及/或改良設備及/或不在一個cMp 製程中使用至少兩種CMP劑之情況下達成。 本發明之方法不再展現先前技術CMp方法之缺點。詳 言之,本發明之方法允許在過度拋光階段軟著墊,同時使 基板之暴露表面(包括嵌埋於該表面中之金屬圖案)之刮 擦、凹陷及侵蝕明顯減少。尤其在STI方法及銅大馬士革 法中達成該等優點。此外,該等優點係在不使用昂貴之附 加及/或改良設備及/或不在一個CMp製程中使用至少兩種 CMP劑之情況下達成。 此外,完全根據本發明之用途,本發明之方法及cMP 劑特別適於製造電元件,詳言之,半導體積體電路(IC )、 液晶面板、有機電致發光面板、印刷電路板、微型機器、 DNA晶片及微型機械設備,較佳為具有LSI (大規模積體 12 201139580 電路)或VLSI (極大規模積體電路)之ic;及光學元件, 洋5之,光學玻璃(諸如光遮罩、透鏡及稜鏡)、無機導電 膜(諸如氧化銦錫(indium tin oxide,ITO ))、光學積體電 路、光學開關元件、光波導、光學單晶(諸如光纖及閃墚 器之端面)、固體雷射單晶、用於藍色雷射LED之藍寶石八 板、半導體單晶,及用於磁盤之玻璃基板,及磁頭。 【實施方式】 在最廣泛態樣中,本發明係關於一種CMp劑(A ) 其包含至少一種類型之固體粒子(al),該等固體粒子(… 包含至少一種金屬腐蝕抑制劑(aii)及至少一種固體材料 (al2)或由至少一種金屬腐蝕抑制劑(an)及至少一種固 體材料U12),组《,該等固體粒?(al)係精細分散於該 CMP劑(A)之水相中。 人 在本發明之上下文中,「固體(solid)」意謂本發明 之CMP劑之相關固體組份的熔點高於室溫,較佳 °C且最佳高於5(TC。 、 體粒子(“)可為初始粒子、初始粒子之聚集體或聚 、,、。體或混合物,及聚集體與聚結體之聚集體及/或聚結體或 混合物。較佳地,其為初始粒子。 固體初始粒子(al )之粒度可在極寬範圍内變化,且因 此可最有利地適應於本發 ⑨3之^法及_之料要求及條 牛。如藉由動態雷射光散射所量測,粒度較佳在1〇 -至 :更佳在乃⑽至1〇…甚至更佳在5“加至$ ^且最佳在⑽⑽至1心之範圍内。 13 201139580 固體初始粒子(al)之粒度分佈亦可極為廣泛,且因此 可最有利地適應於本發明之方法及用途之特定要求及條 件。因此,該分佈可為較寬或較窄之單峰、雙峰或多峰 較佳地,為了獲得可再現之施用性質,該分佈較窄且為單 峰。更佳地’如藉由動態雷射光散射所量測,固體初始粒 子(al)之平均粒度d5。在1〇細至15 _、更佳在 二〇…甚至更佳在一至5…最佳在i〇〇nm 至1 β ηι之範圍内。 固體粒子⑺)可具有不同三維形狀,如例 起及凹槽且有尖邊或削邊之管狀'稜形、板狀、圓柱形、 針狀、稜錐、八面體及二十面體,以及球形,尤其是有或 無突起及凹槽之球形。固體粒子⑺)最佳為球形。
固體粒子(al)含有至少-種、較佳-種金屬(尤其下 文所述之金屬)腐姓抑制劑(a 1 1 ) C I 原則上,CMP技術中常用之任何腐 或成膜劑均可用作木發明Γ⑽如腐敍抑制劑、純化劑 用作本發明CMP劑之腐蝕抑制 限制條件為,該腐钮抑制劑(all)本身為固體:其= ::體,則其以不會不利地干擾_”二::!; 劑(aU)較佳為固體。 囊封腐敍抑制 抑制劑U⑴可為單晶、多晶或非晶的(亦 ,.::二該等形式中至少兩者之混合物。 固體腐蝕抑制劑(aU :丨係 經取代之4、时、㈣、取代及未 十、唑、三畊、脲、 14 201139580 硫腺及磷酸鹽組成之群。 較佳地,固體腐蝕抑制劑(all)之取代基係選自由齒 素原子及羥基、硫醇、胺基、亞胺基、羧基及硝基,以及 經取代及未經取代之含有烧基、環院基及芳基或由烧基、 環烷基及芳基組成之基團組成之群。 該等固體腐蝕抑制劑(all)之合適實例係例如自美國 專利申請案US 2008/0254628 A1,跨第4頁與第5頁之第 [〇〇58]段獲知。 更佳地,固體腐蝕抑制劑(all)係選自由經取代及未 經取代之三唑及四唑組成之群◊甚至更佳地,三唑(a") 係選自由苯并三錢經取代三唾組成之群,且四唾(&⑴ 係選自由5 -經取代之1 四D坐組成之群。 甚至更佳地’ 5-經取代之1H_四嗤(all)係選自由^ 胺基-1H·四錢5H1H_四。坐組成之群最佳使用5苯 基-1 H-四唾(PTA )。 此外,固體粒子 料(al2)。 (a〖)3有至少一種、較佳一種固體材 杜使用任何已知的固體聚合材料,其限制條 二’、在CMP方法開始時不被本發明CMP劑中之組份、 尤其被水溶解或分解。 因此,固體材料(al2)可為在CMp中常用作研磨劑之 無機材料’諸如金屬氧化物,尤其二氧切;或有機材料。 改質二:二:體材枓(al2)係選自由合成以及改質及未 存在之聚合物(亦即生物聚合物)組成之群。 15 201139580 合成聚合材料(al2)可為習知之熱塑性聚合物,其較 佳具有高於室1,較佳高》抓且最佳高&⑽之玻璃轉 移溫度;或習知之硬質塑膠材料,亦即交聯材料。 合適的合成聚合材料之實例為烯系不飽和單體之線性 及/或分枝及/或梳狀均聚物及統計、交替及/或嵌段樣線性及 /或分枝及/或梳狀共聚物,或線性及/或分枝及/或梳狀加成 聚合之聚合物及/或聚縮合聚合物。關於該等聚合物,參考 R6mpp 0nline 2009, 「 Polyaddition 」 及 「Polykondensation」。 合適的均聚物及共聚物(al2)之實例為丙烯酸酯及甲 基丙烯酸酯均聚物及共聚物以及部分皂化之聚乙烯醋。 合適的加成聚合及/或聚縮合聚合物(al 2)之實例為聚 酷、醇酸樹脂、聚胺基曱酸酯、聚内酯、聚碳酸酯、聚醚、 環乳胺加合物、聚脲、聚醯亞胺、聚鱗-聚胺基曱酸酯、聚 酯-聚胺基甲酸酯,及聚酯-聚醚-聚胺基曱酸酯。 改質或未改質之天然存在的聚合物或生物聚合物(al2) 較佳係選自由以下各物組成之群:改質或未改質之核苦 酸、蛋白質或多醣,較佳為改質及未改質之多醣,較佳為 改質之多醣。 多醣(al2)較佳係選自由同元多醣及異元多醣以及蛋 白聚糖組成之群,其中該多醣含量超過蛋白質含量。 特定言之,可使用改質及未改質之結構多醣(al2 ), 如例如纖維素、木質纖維素、甲殼素、幾丁聚糖及葡糖胺 聚糖。更佳使用改質之纖維素、更佳纖維素醚,甚至更佳 16 201139580 使用纖維素曱醚及纖維素乙醚。最佳使用纖維素乙醚。 因此’最佳使用之固體粒子(al)含有5_苯基-1H_四 唾(PTA)及纖維素乙醚或由5苯基_1H_四唑(ρτΑ)及纖 維素乙喊組成。 既疋固體粒子(ai)中腐钱抑制劑(au)與固體聚合 材料(al2 )之質量比率可在極寬範圍内變化,且因此可經 最有利地調節以適應本發明之方法及用途之要求及條件。 較佳地,選擇的質量比率應使得固體粒子(ai )在本發明 CMP劑之儲存、運輸及製造期間不會崩解。較佳地質量 比率(all) :(al2)在1:1〇〇至1〇〇:1,更佳在ι:5〇至心 且最佳在1:25至25:1之範圍内。 固體粒子(al)中組份(all)及(al2)之空間分佈可 在極寬範圍内變化’且因此可經最有利地調節以適應組份 (all)及(al2)之特定化學及物理性質以及本發明之方法 及用途之特定要求及條件。 ' 儘管腐蝕抑制劑(all)可形成固體粒子(al)之外表 面或外表面之—部分’但固體聚合材料(al2)尤其較佳至 少形成外表面之較大部分,較佳整個外表面。 可J二在既定固體粒子(al) m抑制劑⑺1 ° 層聚合材料(a12)之單—固體粒子。卜 將獲得核-殼結構。 此外’腐蝕抑制劑(all)可為微粒狀( 材料,其被囊封於基本上由或由聚合 刀 由斗、仕、a12)組成之』 中,或其精細地分佈於基本上由或由聚合材料“⑴组 17 201139580 之均質基質中。 此外,腐蝕抑制劑(all)與聚合材料(al2)均可為粉 末狀材料,其係藉由靜電力、氫鍵及/或共價鍵黏結在一起。 另外,上文所描述之三維分佈可組合於既定固體粒子 (al )中。 本發明CMP劑中之固體粒子(al)之濃度亦可在極寬 範圍内變化,且因此可經最有利地調節以適應本發明之方 法及用途之要求及條件。較佳地,選擇的濃度應使得本發 明之CMP劑本身包含1〇 ppm至5重量%、更佳5〇啊至 2.5重量%且最佳i重量%之腐蝕抑制劑(Η。, 該重量百分比係以本發明CMP劑之總重量計。 必要時,固體粒子(a 1 )可含有至少一種在CMp技術 中常用之其他功能組份(al3 )。較佳地,該等其他功能組 份(al3)為固體且選自下文所述之其他功能組份(a2)之 群〇 可根據製備固體複合粒子之各種已知且習用之方法來 製備固體粒子(a 1 )。 此外’可在流體化床中利用至少一種聚合材料(ai2 ) 之溶液喷塗所需尺寸之細粉狀固體腐蝕抑制劑粒子(d 1 )。 隨後’必要時可將所得的經塗佈粒子冷凍乾燥。 或者,可於諸如捏合機或擠壓機之合適的混合元件中 使該等固體腐蝕抑制劑粒子(al丨)與至少一種聚人材料 (al2)之熔體接觸,且隨後,可研磨且篩分所得化合物以 達到所需粒度及粒度分佈。 18 201139580 此外,可將其懸浮於液體前驅物中,該等液體前驅物 可藉由熱及/或諸如近紅外(near infrared,NIR)、可見光、 t外光X射線、γ射線或電子束之光化賴射交聯以得到硬 質』勝材料(a 12 )’其中精細分佈有該等細粉狀固體腐触 抑制劑粒子(al i 。隨後,亦可研磨且筛分所得材料以達 到所需粒度及粒度分佈。 本發明之CMP劑亦可含有至少一種其他功能组份 (a2) 〇 較佳地,該其他功能組份(a2)係選自由其他腐蝕抑制 劑;不同於粒子(al)之有機、無機及雜合有機-無機研磨 劑粒子;具有低臨界溶解溫度LCST ( 1〇_响^丨㈤如⑽ temperature )或高臨界溶解溫度 ucst ( upper solution temperature)之材料;氧化劑;鈍化劑;錯合劑或 螯合劑;摩擦劑;穩定劑;pH值調節劑;緩衝劑;流變劑 (Geology agent);界面活性劑;金屬陽離子;及有機溶 劑組成之群。 ' 較佳地,該等其他功能組份(a2)係以CM 用之有效量使用。 中, 上文所述者中之合適的腐蝕抑制劑(a 2)僅為未併入固 體粒子(al)中者。 痕量之固體材料(a 12 )甚至在非CMP條件下亦可存在 於本發明CMP劑之水相中,亦即在固體粒子 、 ^外部。 s亥4組份隨後亦被視為其他功能組份(a2)。 •合適的有機研磨劑粒子(a2)及其有效量係例如自美國 19 201139580 專利申請案US 2008/0254628 A1,第4頁,第[0054]段或自 國際申請案WO 2005/014753 A1獲知,其中揭示了由三聚 氛胺及诸如乙酿脈胺、苯代脈胺(benzoguanamine )及雙氣 胺之三聚氰胺衍生物組成的固體粒子。 合適的無機研磨劑粒子(a2 )及其有效量係例如自國際 專利申請案WO 2005/014753 A1,第12頁,第1至第8列; 或美國專利US 6,068,787,第6行第41列至第7行第65列 獲知。 合適的雜合有機-無機研磨劑粒子(a2)及其有效量係 例如自美國專利申請案US 2008/0254628 A1,第4頁,第 [005 4]段;或 US 2009/0013 609 A1,第 3 頁第[0047]段至第 6頁第[0087]段獲知。 s適的氧化劑(a2 )及其有效量係例如自歐洲專利申請 案EP 1 036 836 A1,第8頁,第[0074]段及第[〇〇75]段;或 美國專利US 6,068,787,第4行第40列至第7行第45列; 或US 7,300,601 B2,第4行第18至第34列獲知。較佳使 用有機及無機過氧化物,更佳使用無機過氧化物。特定言 之’使用過氧化氫。 合適的鈍化劑(a2 )及其有效量係例如自美國專利us 7,300,601 B2,第3行第59列至第4行第9列;或美國專利 申請案US 2008/0254628 A1,跨第4頁與第5頁之第[〇〇5 段獲知。 合適的錯合劑或螯合劑(a2 )(有時亦稱作摩擦劑,參 見美國專利申請案US 2008/0254628 A1,第5頁,第[〇〇61] 20 201139580 段;或钱刻劑’參見美國專利申請案US 2008/0254628 A1, 第4頁,第[0054]段)及其有效量係例如自美國專利us 7.300.601 B2,第4行第35至第48列獲知。最佳使用胺基 酸,尤其甘胺酸,以及雙氰胺,及含有至少一個、較佳兩 個且更佳三個一級胺基之三卩井,諸如三聚氰胺及水溶性胍 胺’尤其三聚氰胺、甲醯縮胍胺、乙醯胍胺及2,4_二胺基_6_ 乙基-1,3,5·三ΰ井。 合適的穩定劑(a2 )及其有效量係例如自美國專利 6,068,787,第8行第4至第56列獲知。 合適的pH值調節劑及緩衝劑(a2 )及其有效量係例如 自歐洲專利申請案EP 1 036 836 A1,第8頁,第[〇〇8〇]段、 第[0085]段及第[〇〇86]段;國際專利申請案w〇 2005/014753 A1,第12頁,第19至第24列;美國專利申請案us 2008/0254628 A1,第6頁,第[0073]段;或美國專利us 7.300.601 B2,第5行第33至第63列獲知。最佳將本發明 CMP劑之pH值調節至3至7 ’尤其4至6。最佳使用硝酸。 合適的流變劑(a2 )及其有效量係例如自美國專利申請 案 US 2008/0254628 A1,第 5 頁第[〇〇65]段至第 6 頁第[〇〇69] 段獲知。 合適的界面活性劑(a2 )及其有效量係例如自國際專利 申請案WO 2005/014753 A1,第8頁第23列至第10頁第 I7列;或美國專利US 7,300,601 B2,第5行第4列至第6 行第8列獲知。 合適的多價金屬離子(a2)及其有效量係例如自歐洲專 21 201139580 利申請案EP 1 036 836 A1,第8頁第[0076]段至第9頁第 [0078]段獲知。最佳使用銅離子。 合適的有機溶劑(a2 )及其有效量係例如自美國專利 US 7,361,603 B2,第7行,第32至第48列;或美國專利申 請案 US 2008/0254628 A1,第 5 頁,第[0059]段獲知。 展現低臨界溶解溫度LCST或高臨界溶解溫度UCST之 合適材料(a2 )描述於例如以下文獻中:H. Mori,H. Iwaya, A. Nagai 及 T. Endo 之文章 Controlled synthesis of thermoresponsive polymers derived from L-proline via RAFT polymerization, Chemical Communication, 2005, 4872-4874 ;或 D· Schmaljohann 之文章 Thermo- and pH-responsive polymers and drug delivery, Advanced Drug Delivery Reviews,第 58 卷(2006),1655-1670 ;或美國專利 申請案 US 2002/0198328 A1、US 2004/0209095 A1、US 2004/0217009 A1、US 2006/0141254 A1 ' US 2007/0029198 A1、US 2007/0289875 A1、US 2008/0249210 A1、US 2008/0050435 A1 或 US 2009/0013609 A1 ;美國專利 US 5,057,560 ' US 5,788,82 A US 6,682,642 B2 ;國際專利申請 案 WO 01/60926 A卜 W02004/029160 A卜 WO 2004/0521946 A1、WO 2006/093242 A2 或 WO 2007/012763 A1 ;歐洲專利 申請案 EP 0 583 814 A1、EP 1 197 587 B1 及 EP 1 942 179 A1 ;或德國專利申請案DE 26 10 705 ;或如BASF公司之 公司手冊「Pluronic ™ & Tetronic ™ Block Copolymer
Surfactants,1996」或美國專利 US 2006/0213780 A1 所證 22 201139580 實’其係由BASF公司及BASF歐洲股份公司(SE)以商標 Pluronic™、Tetronic™及 Basensol™銷售。 本發明CMP劑之製備不展現任何特殊性,而可藉由將 上述組份(al)及(a2)溶解或分散於水性介質、尤其去離 子水中來進行。為達此目的,可使用習用的標準混合方法 及混合裝置,諸如攪拌容器、串列式溶解器(m dissolver)、高剪切葉輪、超音波混合器、均質器喷嘴或逆 流混合器。較佳可使由此獲得之本發明㈣劑濾過具有適 當篩孔之過濾器,以移除粗粒的粒子’諸如精細分散之固 體研磨劑粒子(a2)之聚結體或聚集體。 完全根據本發明之方法,本發明之⑽劑最佳地適用 於自基板表面移除基體材料層且藉由CMp使暴露之表面平 坦化。 在本發明之方法中,本發明之CMp劑在未添加補充材 料之情況下意外地展現以下性質: X、八開始時相同或基本上相同之靜態蝕刻速率SER 及比其開始時低之材料移除速率MRR, 在結束時比開始時低之SER及相同或基本上相同之 匕/、開始時低之靜態蝕刻速率及較低之材料移 除速率MRR。 在本發明之卜 卜文中’ 「基本上相同(essentially the same ),奄謂 ^ „ 〜B始SER與最終SER相差± 10%,較佳± 9%, 更佳± 8 %且最佳+ 7 〇/ $ - / /〇,該百分比係以初始SER計。此同 23 201139580 樣適用於MRR。 SER及MRR本身可在較寬範圍内變化,且因此可以最 有利之方式調節以適應所用設備、欲移除之金屬及欲拋光 之表面之特殊性及要求。較佳地,在本發明CMp方法開始 與結束時,MRR高於SER,以便達成高平坦化效率且降低 凹陷及彳5C#之危險。更佳地,選擇的Mrr與ser之比率應 使付在本發明CMP方法開始與結束時MRR/SER大於1〇, 甚至更佳大於20,最佳大於3〇且尤佳大於4〇。 在本發明之上下文中,「未添加補充材料(with_如 addition of supplementary materials )」一詞意謂無其他(亦 即補充)組份,如例如下文所述之組份(a2)係在本發明之 方法期間添加’以改變CMp劑之物理及域化學性質。 因為可省去補充材料之添加,所以本發明之方法可以 比先前技術之軟著墊CMP方法更容易、更簡單且更廉價之 方式進行。 在本發明之上下文中,術語「基體材料層(bulk material 」表示基本上完全或完全覆蓋基板表面(包括該基 板之幾乎所有或所有特徵,諸如凹進、凹槽及突起)之金 屬層。 基體材料層之厚度可在較寬範圍内變化且主要取決於 基板之特徵之尺寸以及藉助於本發明方法製備之電元件及 光學元件。該厚度較佳為1〇11111至1 ym。 在本發明之上下文中,術語「基體材料(bulk material)」 包括在1C製造中常用之所有材料。基體材料較佳係選自由 24 201139580 介電材料、更佳下文所述之介電材料及導電材料、更佳半 導體及金屬、最佳金屬組成之群。最佳使用金屬作為基體 材料。 在本發明之上下文中,術語「金屬(metal)」亦包括 金屬合金。較佳地,對於半反應,金屬之標準還原電位E〇 大於-0.1 V ’較佳大於〇 V,最佳大於〇_丨V且尤其大於〇 2 V。 Μ —► Mn+ + ne·’其中η為1至4之整數且e·為電子。 該等標準還原電位EG > -0.1之實例列於CRC Handbook of Chemistry and Physics,第 79 版,1998-1999, CRC 出版社 (CRC Press LLC),電化學系列,8-21 至 8-31 中。 該金屬較佳係選自由Ag、An、Bi、Cu、Ge、Ir、〇s、 Pd、Pt、Re、Rh、Ru、T1及W組成之群,最佳選自由Ag、 Au、Cu、Ir、〇s、Pd、pt、Re、Rh、Ru 及 w 組成之群。 特定言之,該金屬為銅。 基板可為常用於製造以下元件之任何基板:電元件, 特定言之,半導體積體電路(IC)、液晶面板、有機電鼓 發光面板、印刷電路板 '微型機器、DNA晶片及微型機械 設備’較佳為具有LSI (大規模積體電路)或VLSI (極大 規模積體電路)之IC;及光學元件,特定言之,光學玻璃 (諸如光遮罩、透鏡及棱鏡)、無機導電膜(諸如氧化銦 錫(ITO ))、光學積體電路、光學開關元件、光波導、光 學單晶(諸如光纖及閃爍器之端面)、固體雷射單晶、用 於藍色雷射LED之藍寶石基板、半導體單晶,及用於磁盤 25 201139580 之玻璃基板,及磁頭。 較佳使用半導體晶圓、士、 曰圓尤其矽晶圓作為基板。 半導體晶圓基板可為平相6 .μ …構或其可具有如突起或 者凹槽或凹進之特徵。較佳 〇半導體日日圓基板為不具有該 等特徵之平坦結構。 較佳地, 埋之金屬圖案 組成尤佳。 在本發明之方法期間暴露之基板表面含有嵌 。該等金屬®I案含有上述金屬或由上述金屬 更佳地’㈣金屬圖案係嵌埋於含有低k或超低k介 電材料或者由低k或超低k介電材料組成之非導電層或絕 緣層中,該非導電層具有與該等金屬圖案互補之圖案。 此嵌埋有金屬圖案之非導電層或絕緣層可直接位於半 導體晶圓基板之上,亦即,其為IC之第一層。該層亦可位 於在半導體晶圓上產生之至少一層之上。該較低層在其上 表面可含有習用且已知之蝕刻終止層。該較低層較佳係使 用本發明之方法且最佳在該方法中使用本發明之CMP劑產 生。 合適的低k或超低k材料及合適的製備絕緣介電層之 方法描述於例如以下文獻中:美國專利申請案US 2005/0176259 A1,第 2 頁,第[0025]至第[〇〇27]段;us 2005/0014667 A1,第 1 頁,第[0003]段;US 2005/0266683 A1,第1頁第[0003]段及第2頁第[0024]段;或US 2008/0280452 A1,第[0024]至第[0026]段;或美國專利 US 7,250,391 B2,第1行第49至第54列;或歐洲專利申請案 26 201139580 EP 1 306 415 A2,第 4 頁第[〇〇31]段。 當使用銅作為金屬時,障壁層通常位於銅與低k或超 低k η電材料之間以防止銅擴散入該材料中。合適的障壁 層之實例係自歐洲專利申請案Ep i 3〇6 415 Α2,第4頁第 [0032]段獲知。 金屬圖案及互補介電圖案之尺寸可在較寬範圍内變化 且取決於藉助於本發明之方法製備的電元件及光學元件。 因此,舉例而纟’用於製造具有LSI (大規模積體電路)或 VLSI (極大規模積體電路)之積體電路(ic)之該等圖案 的尺寸< 100 nm,較佳< 50 nm。 在本發明方法之第—步驟中,使基體材料層之表面與 本發明之C Μ P劑接觸。 ' 在本發月方去之第二步驟中,利用本發明之劑以 予及機械方式拋光基體材料層,直至移除該基體材料層 且暴路出基板表面。 在此方法步驟期間, R保持相同或基本上相同,而初始MRR降低, -初始SER降低且初始MRR保持相同或基本上相同, •初始SER與初始MRR均降低, 之情況下軟著 藉此使得在不添加補充材料(包括水) 墊或著塾成為可能。 不希望欠特定理論限制’咸信此軟著墊行為係藉由 粒子(U )控制性釋放腐触抑制劑(al 1 )來實現。 27 201139580 此外,不希望受特定理論限制,咸信該控制性釋放可 藉由諸如以下之刺激改變固體材料(al2)之至少一種化學 及/或物理性質而觸發: (1)水相中之組份(例如:在CMp期間釋放之以+) 之pH值、溫度或濃度之改變, (ii)曝露於磁場、電場及電磁輻射, (ii〇暴露於機械應力,及 (iv)該等刺激中至少兩者之組合; 且更佳地’該等刺激為: (!)水相中之組份(例如:在CMp期間釋放之Cu2+) 之pH值、溫度或濃度之改變, (Hi)暴露於機械應力,及 (iv)該等刺激中至少兩者之組合。 在本發月方法之第二步驟中,繼續用本發明之⑽p劑 進行化學及機械抛光’直至白異母主二必a 1芏自暴露表面移除所有材料殘餘 物。較佳進行過度拋光5秒黾〗川4丨、,^ : π J ◊主120秒,更佳5秒至90秒且 最佳5秒至60秒。因* Α 士找丄 U為在本發明方法之此階段,本發明之 CMP劑具有: _與初始SER相回七並4* 相Π或基本上相同之SER及低於初始 MRR 之 MRR,或 •低於初始SER之η &、 义SER及與初始MRR相同或基本上相 同之MRR,或 -低於該方法開私、 。時之初始SER及初始MRR的SER及 MRR, 28 201139580 意味著: -初始SER保持相同或基本上相同,而初始mrr已降 同 -初始 SER已降低且初始 MRR保持相同或基本上相 -初始SER與MRR均降低, 凹陷、侵>1 虫 之表面特別 所以在製造電元件及光學元件之過程中, 及微到擦明顯減少,且所得經拋光且經平坦化 適於進一步加工。 本發明之拋光方法未展現出特殊性,而可利用在製造 具有1C之晶圓時常用於CMp之方法及設備來進行。 一如此項技術中所知,用於CMP之典型設備由覆蓋有拋 光墊之旋轉壓板組成。將晶圓安裝於載體或夾盤上,使其 上部朝下面向拋光墊,載體將確保晶圓處於水平位置。拋 光及固持元件之此特定排列亦稱作硬壓板設計(hard-platen design )。載體可保持一個載體墊,該載體墊位於載體之保 持表面與未經拋光之晶圓表面之間。此墊可作為晶圓之襯 塾來操作。
你戰菔之下,一般亦水平定位較大直徑之壓板且其表 面與欲拋光之晶圓表面平行。在平坦化製程期間,其拋光 墊將接觸晶圓表面。在本發明之Cmp方法期間,將CMP 齊1 ( A ) ’特定έ之本發明之CMp劑以連續流形式或以逐 滴形式施加至拋光塾上。 使載體與壓板分別圍繞自載體及壓板垂直延伸之軸旋 29 201139580 轉。旋轉的載體軸可相對於旋轉壓板保持位置固定,或可 相對於壓板水平振盘。載體之旋轉方向典型地(但非必需) 與壓板之旋轉方向相同。載體及壓板之旋轉速度一般(但 非必需)設定為不同值。 通常’磨板之溫度係設定為介於丨0°c與70〇c之間的溫 度0 關於其他細節,請參考國際專利申請案W〇 20〇4/〇63301 A1 ’詳言之第16頁第[〇〇36]段至第18頁第 [0040]段連同圖1。 藉由本發明之方法及本發明之CMp劑,可獲得具有包 含銅大馬士革圖案之IC之晶圓,其具有優良平坦性且在成 品1C中具有優良的電功能性。 實施例 合成實施例 藉由將5-苯基_NH·四咬(PTA)囊封於纖維素乙驗 來製備粒子(a 1 ) 將纖維素乙喊(約0·001重量份)溶解於乙醇(約3 重量份)巾。將5·苯基小H_四哇(約i重量份)之細粉另 晶體添加至所得溶液中,隨後充分搜拌所得溶液以形心 淨液。隨後藉由對較大的平整表面喷霧來乾燥所得^ 液。因此獲得之膠囊具有由纖維素乙料鞘包封之5 -1-H-四口坐(pta)内核。滕贵夕無疮户士 2 膠曩之粒度在直徑為約30 nm 5 約40 nm的範圍内。 3 將經乾燥之膠囊與磷酸氫H起添加至水中且尸 30 201139580 拌。攪拌後,膠囊聚集體即分裂成游離的塗佈有乙基纖維 素之PTA粒子,該等粒子呈其在原始分散液中所具有之形 式及尺寸。已發現,以經纖維素乙醚囊封之PTA之重量計, 介於0.1 %與1.0%之間之量的磷酸氫二鉀產生所需結果。 實施例1至4 製備含有固體粒子(a 1 )之CMP劑(A1 )至(A4 ) 製備具有以下組成之鹼性漿料以製備實施例1至4之 CMP 劑(A1 )至(A4): -9 7.9重量%去離子水; -0.2重量%NexSil™ 20 A (來自Nyacol之水性膠狀二 氧化矽,其pH值為2.5至3且二氧化矽含量為3〇重量%, 該二氧化矽具有20 nm之粒度及135 m2/g之比表面積); -1.8重量%甘胺酸; -0.1重量%罐酸氫二卸;及 -每100份5 mM之根據合成實施例之塗佈有纖維素乙 醚之5-苯基-1 H-四唑(粒子a丨)的鹼性漿料。 對於實施例1之CMP劑(A1)之製備,將鹼性漿料用 【,隨後添加過氧化氫(3〇 CMP劑(A1 )之總重量計 去離子水以1:3之重量比率稀釋, 重里%之水溶液),由此獲得以Cp 2.5重量%之過氧化氫含量。 之製備,將驗性衆料用
量。另外 對於實施例2之CMP劑(A2 ) 去離子水以1:4之| 重量%之水溶液), τ劑k )之總重量計 每 1〇〇 份 CMP 劑(A2) 2·5重量%之過氧化氫含量。另 31 201139580 添加8 mM之塗佈有纖維素乙醚之5_苯基_1H四唑(粒子 al )。 對於實施例3之CMP劑(A3)之製備,如在實施例ι 之情況下一般稀釋鹼性漿料且添加Η"2,不同之處僅在於 每1〇〇份CMP劑(A3)另外添加lmM之5·苯基·⑻四嗤。 對於實施例4之CMP劑(A4)之製備,如關於實施例 1所述一般稀釋鹼性漿料且添加Η"2,不同之處僅在於使 用1:3(重量比率)之稀釋因子。 CMP劑(A1 )至(A4 )特別適於自所有種類基板之表 面移除基體材料層且藉由CMP使暴露表面平坦化。 實施例5至8 實施例1至4之CMP劑(A1 )至(A4 )之軟著墊行為 藉由測定在20秒及45秒之拋光時間後之材料移除速 率MRR ( nm/min)、晶圓内不均勻度wiWNU ( %)、平均 表面粗縫度 Ra(nm)及峰谷比 PV (peak-to-valley ratio; V m),來論證實施例1至4之CMP劑(Al )至(A4)之 軟著墊行為。另外,在20°C及50°C下測定靜態钮刻速率SER (nm/min )。 將實施例1之CMP劑(A1 )用於實施例5。 將實施例2之CMP劑(A2 )用於實施例6。 將實施例3之CMP劑(A3 )用於實施例7。 將實施例4之CMP劑(A4 )用於實施例8。 如下測定SER。 在每次實驗之前,初始修整銅盤,洗滌,乾燥且隨後 32 201139580 稱重。將鋼盤浸於2%硝酸中10至2〇秒,且隨後用去離子 水沖洗,接著用壓縮空氣乾燥。隨後在第一組中在2〇。〇下 且在第二組中在50〇c下將銅盤直接浸於經攪拌之cMp劑 (A1 )至(A4 )中,每一組各5分鐘。蝕刻之後依次用 去離子水及異丙醇沖洗液清潔銅盤。隨後’用穩定的壓縮 空氣流乾燥銅盤,且使用以下計算法,基於鋼盤之淨重量 損失及表面積來計算SER : SER =重量損失/[密度x(圓周面積+ 2χ橫截面積沁時 間], 其中 重量損失=溶解後銅盤之重量損失; 密度=銅之密度; 橫截面積=銅盤之橫截面積; 圓周面積=銅盤之圓周面積;及 時間=溶解時間。 量測結果編輯於表1中。 如下測定材料移除速率MRR : 在每次實驗之前,亦修整銅盤(如先前所解釋)、洗 滌、乾燥且隨後稱重。隨後,將其附著於不鏽鋼載體上且 隨後安裝於單面拋光機(Strasbaugh 6EG拋光機)上。使用 聚胺基甲酸酯1C 1000拋光墊進行該等實驗。在第一組中, 藉由在室溫下將CMP劑(A1)至(A4)各以200 ml/min 之速率提供至墊上’在6.896 kPa ( 1 psi)之壓力下將銅盤 抛光20秒。該墊具有75 rpm之旋轉速度’且壓板具有65 rpm 33 201139580 之旋轉速度。用金剛石磨粒修整器修整墊以移除化學反應 之產物且使該塾準備進行下一輪處理。在第二組中,在相 同條件下將銅盤拋光45秒。 拋光後’依次用去離子水沖洗液及異丙醇沖洗液清潔 盤。隨後’用穩定壓縮空氣流乾燥該等盤,且根據以下計 算法,基於經拋光表面積之淨重量損失來計算MRR : MRR =重量損失/(密度χ橫截面積乂時間); 其中 重量損失=拋光後銅盤之重量損失; 密度=銅之密度; 橫截面積=銅盤之橫截面積;及 時間=拋光時間。 使用Zygo公司之NewView 6000測定WIWNU。 量測結果亦編輯於表1中。 使用Zygo公司之NewView 6000測定晶圓中心及邊緣 之Ra。 使用Zygo公司之NewView 6000測定晶圓中心及邊緣 之PV。 量測結果亦編輯於表2中。 表1 :實施例1至4之CMP劑(A1 )至(A4)之施用
十生質:材料移除速率MRR、靜態蝕刻速率SER及晶圓内不 均勻度WIWNU 34 201139580 實施例 MRR,20 秒/nm/min (WIWNU/%) MRR,45 秒/nm/min (WIWNU/%) SER, 20°C/nm/min SER, 50°C/nm/min 5 575.1 (7.6) 420.7(5.1) 11.5 7.8 6 318.9(22) 275.7 (26) 0 0 7 558 (6.6) 410.1 (6.4) 11.1 5.8 8 633.8 (8.1) 479.9 (4.2) 13.2 9.9 所測試之所有CMP劑(A1 )至(A4)皆展示其MRR 隨著拋光時間增加而顯著降低。此外,CMP劑(A1 )及(A3 ) 及(A4 )亦展示其SER隨著溫度增加而顯著降低。因此, 其理想地適於軟著墊CMP。此外,CMP劑(A1 )及(A3 ) 及(A4)之MRR/SER比率高於48(參見實施例5及7及8), 因此平坦化效率亦較高。由於腐蝕抑制劑濃度比較高,因 此CMP劑(A2 )未展示出蝕刻行為且MRR比較低(參見 實施例6 )。 【圖式簡單說明】 無 【主要元件符號說明】 無 35

Claims (1)

  1. 201139580 七、申請專利範圍: 1.種水性化學機械抛光劑(A ),其包含至少一種類 型之固體粒子(al ),該固體粒子(al )含有: (a 11 )至少一種金屬腐触抑制劑,及 (al2)至少一種固體材料, 該等固體粒子(a 1 )係精細地分散於水相中。 2.如申請專利範圍第i項之水性化學機械拋光劑(a ), 其特徵在於該腐钮抑制劑(a 11 )之至少一者為固體。 3·如申請專利範圍第2項之水性化學機械拋光劑(a ), 其特徵在於該固體腐蝕抑制劑(all)係選自由經取代及未 經取代之三唑、四唑、噻唑、噻二唑、咪唑、三畊、脲、 硫脲及磷酸鹽組成之群。 4. 如申請專利範圍第3項之水性化學機械拋光劑(A ), 其特徵在於取代基係選自由_素原子及羥基、硫醇、胺基、 亞胺基、羧基及硝基以及經取代及未經取代之含有烷基、 環炫基及芳基或由院環燒基及芳基組成之基團組成之 群。 5. 如申明專利範圍第3項之水性化學機械拋光劑(a ), 其特徵在於該固體腐蝕抑制劑(al丨)係選自由經取代及未 經取代之三唑及四唑組成之群。 6. 如申請專利範圍第4項之水性化學機械拋光劑(A), 其特徵在於,該固體腐蝕抑制劑(all)係選自由經取代及 未經取代之三唑及四唑組成之群。 7. 如申請專利範圍第5項之水性化學機械拋光劑(幻, 36 201139580 其特徵在於該三。坐(n、後 f l a 11 )係選自由笨并三唑及經取代三唑 組成之群’且該四〇坐(a〗1、技、典&丄广 生、a 11 )係選自由5-取代之1 Η-四》坐组 成之群。 ’ 8·如申請專利範圍第5項之水性化學機械拋光劑(Α), 其特徵在於該5·取代之1Η•四唾(aU)係選自由%胺基_ιη_ 四唑及5 -芳基· 1 η-四唑組成之群。 9.如申明專利範圍第8項之水性化學機械拋光劑(a ) 其特徵在於該5-芳基·1Η_四唑(all)為5苯基_1H•四唑 10.如申請專利範圍帛5項之水性化學機械拋光劑 (A),其特徵在於該固體材料(&12)係選自改質多醣之群。 11_如申請專利範圍帛5項之水性化學機械拋光劑 (A) ’其特徵在於,該固體材料(al2)係選自由纖維素烧 基醚組成之群。 . 12. 如申請專利範圍第丨項至第9項中任一項之水性化 學機械拋光劑(A)’其特徵在於該固體材料(al2)係選自 由合成及改質和未改質之天然存在聚合物組成之群。 13. 如申請專利範圍第丨項至第9項中任一項之水性化 學機械拋光劑(A) ’其特徵在於該固體材料(al2)為纖維 素乙醚。 14. 如申請專利範圍第丨項至第丨丨項中任一項之水性化 學機械拋光劑(A )’其特徵在於該金屬係選自由對於以下 半反應具有標準還原電位EG > -〇. 1 v之金屬組成之群: MHMn+ + ne ’其中11為1至4之整數且e-為電子。 15. 如申請專利範圍第!項至第n項中任一項之水性化 37 201139580 學機械拋光劑(A),其特徵在於其含有至 組份(a 2 ) ’該其他功能組份(a 2 )係選自由下列組成::: 不同於該粒子(al)之有機 '無機及雜合有機·無機研磨劑 粒子,具有較低臨界溶解溫纟LCST或較高臨界溶解溫度 UCST之材料;氧化劑;鈍化劑;錯合劑或螯合劑;摩捭:. 穩定劑;PH值調節劑;緩衝劑;流變劑;界面活性劑 屬陽離子;及有機溶劑。 16.:種自基板表面移除基體材料層且藉由化學機械拋 光使暴露表面平坦化之方法,該方法包含以下步驟: (1)提供水性化學機械拋光劑(A),其在未添加補 充材料之情況下在該化學機械拋光結束時展現: -與其開始時相同或基本上相同之靜態蝕刻速率 SER及比其開始時低之材料移除速率mrr, •比其開始時低之靜態蝕刻速率SER及與其開始時 相同或基本上相同之材料移除速率MRR,或 -比其開始時低之靜態蝕刻速率SER及低之材料移 除速率MRR ; (2)使該基體材料層之表面與該水性化學機械抛光 劑(A)接觸; (3 )利用該水性化學機械拋光劑(a )以化學及機械 方式拋光該基體材料層,同時 _其初始SER保持相同或基本上相同且其初始mrr 降低, -其初始SER降低且其初始MRR保持相同或基本上 38 201139580 相同,或 -其初始SER與其初始MRR兩者均降低, 直至移除該基體材料層且暴露出該基板表面;及 (4 )利用該化學機械拋光劑(a )繼續進行該化學機 械拋光,在該方法之此階段,該化學機械拋光劑(A)具 有 -與該初始SER相同或基本上相同之SER及低於該 初始MRR之MRR, 低於該初始SER之SER及與該初始MRR相同或 基本上相同之MRR,或 -低於該初始SER與該初始MRR之SER與MRR, 直至所有材料殘餘物皆自該暴露表面移除。 1 7.如申請專利範圍第1 6項之方法,其特徵在於使用如 申請專利範圍第1項至第1 5項中任一項之水性化學機械拋 光劑(A )。 18. 如申請專利範圍第μ項或第17項之方法,其特徵 在於該基體材料層為金屬層。 19. 如申請專利範圍第16項或第17項之方法,其特徵 在於該基體材料層為選自由Ag、Au、Cu、Ir、Os、Pd、Pt、 Re、Rh、RU及W組成之群之金屬的層。 20. 如申請專利範圍第16項或第I?項之方法,其特徵 在於’該基體材料層為銅層。 21. —種如申請專利範圍第1項至第15項中任一項之水 性化學機械拋光劑(A )的用途,其係用於製造電元件及光 39 201139580 學元件。 22. —種如申請專利範圍第1 6項至第20項中任一項之 方法的用途,其係用於製造電元件及光學元件。 八、圖式: (無) 40
TW099141145A 2009-11-30 2010-11-29 從基板移除基體材料層之方法及適合此方法之化學機械拋光劑 TWI638019B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US26485809P 2009-11-30 2009-11-30
US61/264,858 2009-11-30

Publications (2)

Publication Number Publication Date
TW201139580A true TW201139580A (en) 2011-11-16
TWI638019B TWI638019B (zh) 2018-10-11

Family

ID=44065917

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099141145A TWI638019B (zh) 2009-11-30 2010-11-29 從基板移除基體材料層之方法及適合此方法之化學機械拋光劑

Country Status (5)

Country Link
US (1) US9028708B2 (zh)
EP (1) EP2507332A4 (zh)
CN (1) CN102648258B (zh)
TW (1) TWI638019B (zh)
WO (1) WO2011064735A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011104640A1 (en) 2010-02-24 2011-09-01 Basf Se Aqueous polishing agent and graft copolymers and their use in process for polishing patterned and unstructured metal surfaces
EP2502969A1 (en) 2011-03-22 2012-09-26 Basf Se A chemical mechanical polishing (cmp) composition comprising two types of corrosion inhibitors
ES2545857T3 (es) 2011-11-10 2015-09-16 Basf Se Aditivo de baño de estucado de papel que comprende monómero de ácido, monómero asociativo y monómero no iónico
US20140097539A1 (en) * 2012-10-08 2014-04-10 Stmicroelectronics, Inc. Technique for uniform cmp
CN106366936A (zh) * 2016-08-27 2017-02-01 宁波市鄞州伴佰精密机械有限公司 精密仪器不锈钢零件抛光液的制备方法
CN106336814A (zh) * 2016-08-27 2017-01-18 宁波市鄞州伴佰精密机械有限公司 不锈钢零件防嵌粒抛光液的制备方法
CN106349949A (zh) * 2016-08-27 2017-01-25 宁波市鄞州伴佰精密机械有限公司 一种用于不锈钢零件的抛光液的制备方法
EP3680296A4 (en) * 2017-09-05 2021-04-07 Nippon Shokubai Co., Ltd. COMPOSITION OF BINDER, RIGID BODY, AND PROCESS FOR MANUFACTURING RIGID BODY
CN115505389B (zh) * 2022-08-22 2023-04-28 福建天甫电子材料有限公司 一种ito蚀刻液及其使用方法

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US578882A (en) 1897-03-16 Railway-rail joint
DE2610705C3 (de) 1976-03-13 1978-10-19 Henkel Kgaa, 4000 Duesseldorf Saure galvanische Kupferbäder
US4339356A (en) * 1980-12-31 1982-07-13 The Procter & Gamble Company Heavily perfumed particles
US5057560A (en) 1987-10-05 1991-10-15 Ciba-Geigy Corporation Thermotropic copolymer hydrogels from N,N-dimethylacrylamide and methoxy-ethyl (meth) acrylate
FR2694939B1 (fr) 1992-08-20 1994-12-23 Schlumberger Cie Dowell Polymères thermoviscosifiants, leur synthèse et leurs applications notamment dans l'industrie pétrolière.
US6068787A (en) 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US7547669B2 (en) 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
EP1036836B1 (en) 1999-03-18 2004-11-03 Kabushiki Kaisha Toshiba Aqueous dispersion for chemical mechanical polishing
US7425581B2 (en) 1999-07-30 2008-09-16 Universiteit Utrecht Temperature sensitive polymers
DE10006538C2 (de) 2000-02-15 2002-11-28 Forsch Pigmente Und Lacke E V Verfahren zur Beschichtung von Partikeln mit LCST-Polymeren
JP3993369B2 (ja) 2000-07-14 2007-10-17 株式会社東芝 半導体装置の製造方法
EP1197587B1 (en) 2000-10-13 2006-09-20 Shipley Co. L.L.C. Seed layer repair and electroplating bath
FR2824832B1 (fr) 2001-05-16 2005-05-27 Oreal Polymeres hydrosolubles a squelette hydrosoluble et a unites laterales a lcst, leur procede de preparation, compositions aqueuses les contenant, et leur utilisation dans le domaine cosmetique
DE10152993A1 (de) 2001-10-26 2003-05-08 Bayer Ag Zusammensetzung für das chemisch-mechanische Polieren von Metall- und Metall/Dielektrikastrukturen mit hoher Selektivität
US6620215B2 (en) * 2001-12-21 2003-09-16 Dynea Canada, Ltd. Abrasive composition containing organic particles for chemical mechanical planarization
AU2003233400A1 (en) 2002-03-15 2003-09-29 The Penn State Research Foundation Method for control of temperature-sensitivity of polymers in solution
JP3516446B2 (ja) 2002-04-26 2004-04-05 東京応化工業株式会社 ホトレジスト剥離方法
JP4443864B2 (ja) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
DE10243438A1 (de) 2002-09-18 2004-03-25 Merck Patent Gmbh Oberflächenmodifizierte Effektpigmente
EP1422320A1 (en) 2002-11-21 2004-05-26 Shipley Company, L.L.C. Copper electroplating bath
DE10254430A1 (de) 2002-11-21 2004-06-03 Süd-Chemie AG LCST-Polymere
DE10254432A1 (de) 2002-11-21 2004-06-03 Süd-Chemie AG LCST-Polymere
US7229484B2 (en) * 2002-11-27 2007-06-12 Intel Corporation Pre-coated particles for chemical mechanical polishing
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US20040175942A1 (en) 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
TW200505975A (en) 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
US7037351B2 (en) 2003-07-09 2006-05-02 Dynea Chemicals Oy Non-polymeric organic particles for chemical mechanical planarization
DE10358092A1 (de) 2003-12-10 2005-07-14 Merck Patent Gmbh Oberflächenmodifizierte Partikel
TWI253848B (en) 2004-04-09 2006-04-21 Mstar Semiconductor Inc Pixel interpolation method and related pixel interpolation device
US7182798B2 (en) * 2004-07-29 2007-02-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polymer-coated particles for chemical mechanical polishing
US7709053B2 (en) * 2004-07-29 2010-05-04 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of manufacturing of polymer-coated particles for chemical mechanical polishing
US7026441B2 (en) 2004-08-12 2006-04-11 Intel Corporation Thermoresponsive sensor comprising a polymer solution
US8012374B2 (en) * 2004-11-04 2011-09-06 The University Of Cincinnati Slow-release inhibitor for corrosion control of metals
TW200632147A (zh) 2004-11-12 2006-09-16
US7419519B2 (en) 2005-01-07 2008-09-02 Dynea Chemicals Oy Engineered non-polymeric organic particles for chemical mechanical planarization
JP4131270B2 (ja) 2005-03-01 2008-08-13 トヨタ自動車株式会社 車輌の制駆動力制御装置
US20060213780A1 (en) 2005-03-24 2006-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Electroplating composition and method
FR2889194A1 (fr) 2005-07-27 2007-02-02 Rhodia Chimie Sa Copolymere a blocs comprenant un bloc lcst presentant une temperature inferieur critique de solubilite, formulations comprenant le copolymere et utilisation pour vectoriser un ingredient actif
TW200714696A (en) * 2005-08-05 2007-04-16 Advanced Tech Materials High throughput chemical mechanical polishing composition for metal film planarization
US20090130384A1 (en) 2005-09-30 2009-05-21 Toyama Prefecture Chip Provided with film Having Hole Pattern with the Use of Thermoresponsive Polymer and Method of Producing the Same
EP1832629B1 (en) * 2006-03-10 2016-03-02 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Corrosion inhibiting pigment comprising nanoreservoirs of corrosion inhibitor
US9120952B2 (en) 2006-10-27 2015-09-01 University Of South Florida Polymeric microgels for chemical mechanical planarization (CMP) processing
US20080142375A1 (en) * 2006-12-13 2008-06-19 Francois Doniat Electrolyte formulation for electrochemical mechanical planarization
US7981221B2 (en) * 2008-02-21 2011-07-19 Micron Technology, Inc. Rheological fluids for particle removal
US8597539B2 (en) 2008-10-03 2013-12-03 Basf Se Chemical mechanical polishing (CMP) polishing solution with enhanced performance
EP2427523B1 (en) 2009-05-06 2015-10-28 Basf Se An aqueous metal polishing agent comprising a polymeric abrasive containing pendant functional groups and its use in a cmp process
WO2010127938A1 (en) 2009-05-06 2010-11-11 Basf Se An aqueous polishing agent comprising solid polymer particles and two complexing agents and its use in a process for polishing patterned and unstructured metal surfaces
US8684793B2 (en) 2009-05-08 2014-04-01 Basf Se Oxidizing particles based slurry for nobel metal including ruthenium chemical mechanical planarization
KR101760529B1 (ko) 2009-06-05 2017-07-21 바스프 에스이 화학 기계적 평탄화(CMP)를 위한 CeO2 나노입자 코팅된 라스베리형 금속 산화물 나노구조체

Also Published As

Publication number Publication date
US9028708B2 (en) 2015-05-12
CN102648258B (zh) 2015-04-08
US20120231627A1 (en) 2012-09-13
EP2507332A1 (en) 2012-10-10
TWI638019B (zh) 2018-10-11
EP2507332A4 (en) 2017-08-02
CN102648258A (zh) 2012-08-22
WO2011064735A1 (en) 2011-06-03

Similar Documents

Publication Publication Date Title
TW201139580A (en) Process for removing a bulk material layer from a substrate and a chemical mechanical polishing agent suitable for this process
TWI303272B (en) Cmp slurry for metallic film, polishing method and method of manufacturing semiconductor device
TWI434957B (zh) 單板製程用之整合化學機械拋光組成物及方法
Matijević et al. Colloid aspects of chemical–mechanical planarization
TWI382082B (zh) Cmp研磨液以及使用該研磨液之基板研磨方法
CN103210047B (zh) 含n取代的二氮烯*二氧化物和/或n’-羟基-二氮烯*氧化物盐的含水抛光组合物
JP6804435B2 (ja) 有機/無機複合粒子を含む化学機械研磨組成物
EP2427522B1 (en) An aqueous polishing agent comprising solid polymer particles and two complexing agents and its use in a process for polishing patterned and unstructured metal surfaces
TW200413489A (en) Process for reducing dishing and erosion during chemical mechanical planarization
TW201131020A (en) Method for chemical mechanical planarization of a tungsten-containing substrate
JP2005514773A (ja) 化学的機械的な平坦化のための有機粒子含有研磨剤組成物
JP2006060205A (ja) スラリー組成物、その製造方法、及びこれを用いた加工物の研磨方法
US10392531B2 (en) Process for removing a bulk material layer from a substrate and a chemical mechanical polishing agent suitable for this process
TW200537615A (en) Metal polishing slurry and polishing method thereof
JP2014007415A (ja) Cmp研磨液
JP5585220B2 (ja) Cmp研磨液及びこのcmp研磨液を用いた研磨方法
JP2013520831A (ja) 水性研磨剤及びグラフトコポリマー並びにそれらをパターン形成された及び構造化されていない金属表面の研磨プロセスに用いる使用
TWI570796B (zh) 用於形成穿底晶圓貫孔的方法
Wrschka et al. Development of a slurry employing a unique silica abrasive for the CMP of Cu damascene structures
WO2008151918A1 (en) A process for polishing patterned and unstructured surfaces of materials and an aqueous polishing agent to be used in the said process
JP5004494B2 (ja) 化学的機械的研磨方法
JP5573234B2 (ja) Cmp研磨液及びこのcmp研磨液を用いた基板の研磨方法
JP2005136134A (ja) 研磨用組成物
WO2011077887A1 (ja) パラジウム研磨用cmp研磨液及び研磨方法
JP2005317808A (ja) 薄膜研磨用研磨布およびそれを用いる薄膜の研磨方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees