TW200928839A - System and method for routing connections - Google Patents

System and method for routing connections Download PDF

Info

Publication number
TW200928839A
TW200928839A TW097147997A TW97147997A TW200928839A TW 200928839 A TW200928839 A TW 200928839A TW 097147997 A TW097147997 A TW 097147997A TW 97147997 A TW97147997 A TW 97147997A TW 200928839 A TW200928839 A TW 200928839A
Authority
TW
Taiwan
Prior art keywords
connectors
wires
routing
wire
wire width
Prior art date
Application number
TW097147997A
Other languages
English (en)
Inventor
Payman Zarkesh-Ha
Christopher L Hamlin
Ashok K Kapoor
James S Koford
Madhukar B Vora
Original Assignee
Dsm Solutions Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dsm Solutions Inc filed Critical Dsm Solutions Inc
Publication of TW200928839A publication Critical patent/TW200928839A/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

200928839 六、發明說明: 【發明所屬之技術領域】 發明領域 本發明大致與用於電子電路的電子設計自動化(EDA) 5 ❻ 10 15 參 20 工具有關,更加特別地,與用於使電子電路中的互連元件 最佳化的工具有關。 【先前技 發明背景 由於過去幾十年的快速技術發展,電晶體和其他半導 體裝置已變成用於各種各樣電子裝置的基礎建造區塊。隨 著對這些電子裝置之性能的要求的增長,對電子電路而言 有體積更小和速度更快的需求。隨著電晶體的性能接近理 論極限,使由邏輯閘之間的互連產生的性能影響最小化變 成裝置設計的一個日益重要的層面。 然而,在許多方面,電子設計自動化(EDA)工具的演化 沒有跟上性能要求增長的節奏。因此,對於EDA工具和設 計技術而言存在於使電子電路的特性最佳化中提供彈性以 使整體裝置性能最大化的要求。特別地,使電子裝置中的 互連的位置、間隔和/或尺寸最佳化的能力對於使性能最大 化而言已變得很關鍵。
t發明内容;J 發明概要 根據本發明,與先前半導體裝置相關聯的缺點和問題 實質上已大大降低或消除。 3 200928839 網路連 該方法也包括用具有 根據本發明之-實施例,一種用於模型化電路的 包括接收线多個電路㈣之間的多個連接_ / 線表以及識別該等連接體的—子集 第一導線寬度的一第一会且導給4kl . #,且導線對所識別連接體安排路 由,以及用-第二導線寬度對剩餘連接體之至少一部“ 排路由。該第二導線寬度小於該第—導線寬度。該方= —步包括用具有該第二導線寬度的-第三組導線取代:二 10 15 根據本發明之另-實施例,—種用於產生一電 的系統包括-記憶體和-處理器。該記憶體能夠 器指令。當執行處理㈣令時,該處㈣㈣接收定^多 等固之間的多個連接體的—網路連線表以及識別該 等連接體的一子集。該處理器也能夠用具有一第一導線寬 度的一第-組導線對所識別連接體安排路由,以及用一第二 導線寬度對剩餘連接體之至少-部分安排路由,其中該第二 導線寬度祕該第-導線寬度。該處Sl§進—步能夠用具有 該第二導線寬度的-第三組導線取代該第'组導線。 本發明之某些實施例的技術優點用最小的大小增加增 強了裝置性能。額外的技術優點包括修改現有的裝置工具 以使導線間隔最佳化的能力。由於下面的圖式、描述以及 申請專利範圍’本發明的其他技術優點對於本技術領域的 通常知識者而言將是容易顯而易見的。此外,儘管上文列 ,了特定優點’但是各個實施例可包括所列舉之優點的全 二或不包括遠等所列舉之優點。下面的技術優點可 20 200928839 透過本發明之實施例的一些、全部來實現或不透過本發明 之實施例實現。 圖式簡單說明 為了更完整地理解本發明及其優點,現將採取結合所 5 附圖式的方式實現對以下描述的參考,其中: ' 第1圖說明用於設計和模型化具有改良性能之電子電 路的一系統; 第2A-2C圖顯示第1圖的系統在對電子電路安排路由時 © 可如何根據特定環境間隔導線的範例; ' 10 第3圖說明可使用第1圖之系統的特定實施例設計的一 電路範例;以及 第4圖是說明一種用於產生具有改良性能之一電子電 . 路模型之示範性方法的一流程圖。 L實施方式3 15 較佳實施例之詳細說明 第1圖說明用於設計整合電子電路的一系統10。在該所 _ 說明的實施例中,系統10包括一處理器20、一記憶體30以 及一顯示器40。此外,在特定實施例中,系統10包括諸如 一佈局模組22、一安排路由模組24以及一最佳化模組26的 20 多個模組,該等模組能夠執行某些與電子電路的設計、模 型化和/或模擬有關的任務。在特定實施例中,透過使電子 電路中的互連元件最佳化,系統10可提高用系統10設計之 電子電路的性能。 更加特別地,在特定實施例中,透過或使用系統10設 5 200928839 計的電子電路包括透過促進相關電子電路中Μ L办 的預定功能之 導線的一連接體連接的夕個電路元件。透過# _ ’使這些導線的 晶片動 間隔最佳化,如下文之進—步描述,系統1〇可減小由言此 導線產生的互連電容。由於電子電路之導綠間的互 的該減小,可提高電子電路的操作速度和/或可減】 態功率消耗。 10 15 儘管所述技術可在任何合適組配的設計系統上使用 但第1圖說明可用來設計具有最佳導線間隔之晶片的一示 範性系統10的一實施例。如上所述,該所說明的系統1〇之 實施例包括處理器20、記憶體30以及顯示器4〇。共同地, 處理器20、記憶體30和處理器40允許系統10的使用者產生 一電路模型30,該電路模型30模型化已由系統1〇設計或要 由系統10設計的一電子電路。 處理器20可以是一通用電腦、專用微處理器或者能夠 傳送和處理電子資訊的其他處理裝置。處理器2〇的實例包 括特定應用積體電路(ASIC)、現場可規劃閘陣列(FPGA)、 數位信號處理器(DSP)以及任何其他合適的專用或通用處 理器。在特定實施例中,處理器20透過執行儲存在記憶體 30中的處理器指令來操作。儘管第1圖說明包括一特定數目 之處理器20的系統10的一特定實施例,但是系統1〇—般而 言可包括任何合適數目的處理器10。 記憶體30儲存處理器指令、電路模型50、網路連線表 52、細胞程式館54、設計規則58以及/或者系統1〇於操作期 間所使用的其他值、參數和資料。記憶體30可包含諸如隨 20 200928839 機存取記憶體(ram)裝置、唯讀記憶體(ROM)裝置、磁性 儲存器裝置、光學儲存器裝置成任何其他合適的資料儲存 器裝置之適於儲存資料的易失性或非易失性、本地或遠端 . 裳置的任何集合和配置。以下描述使用術語“記憶體30”指 ;5 的是的任何上述記憶體裝置或在系統1〇中、耦接到系統ίο 或可由系統10存取的記憶體裝置或系統10的元件。 顯示器40顯示電路模型5〇、涉及電路模型5〇的模擬結 ^ 果以及與電路模型50相對應之電子電路相關聯的任何其他 合適資訊。在特定實施例中,顯示器4〇可代表能夠從處理 1〇器2〇接收圖形資訊和/或視訊信號的一電腦監視器或電視 螢幕。然而,更加一般地,顯示器4〇可代表能夠為系統1〇 的使用者顯示與電路模型50有關之資訊的硬體和/或軟體 - 的任何合適組合。 電路模型50代表一電子電路模型。更加特別地,電路 15模型5〇代表任何合適的資訊,該資訊描述該受模型化電子 參 中之元件的位置、操作和/或組成。該受模型化電子電 =可包括電子構件、裝置和/或元件的任何合適集合。在特 實施例中t路;^型5G包含一資料庫物件,該資料庫物 20型5包括或參考在由系統1〇所設計之一電路中的多個元件模 疋件模型56各自代表與其他電路元件組合以提供由系 所料之電路的功能的—電路構件、裝置和⑼元件的 或主可模型化被動元件(諸如咖和電容器) (電晶體)。此外,在特定實施例中 ,某些元 7 200928839 件模型56可模型化具有多個元件的元件組 。例如,一特定 凡件模型56可模型化形成諸如NAND閘之一邏輯問的一組 電曰曰體。更加-般地,每—元件模型%可模型化任何合適 的電子構件、裝置和/或元件或任何合適的構件、裝置或元 5件組。為了說明起見,以下描述側重一實施例,其中在該 實施例中,每一元件模型56代表用來設計和模擬電路模型 5〇之細胞程式館54中的一特定細胞例子。細胞程式館μ中 的每-細胞代表-駄邏輯閘、構件(諸如電晶體、電阻器 或電容器)或可在電路模型50中合適實例化以形成具有所 10期望之功能的電路之其他類型電子元件的模型。 此外,每一元件模型56模型化分別接收和傳送輸入和 輸出信號的一個或多個終端機。這些終端機可透過導線60 基於相關電子電路的所期望功能和特性連接。如下文之進 步时淪,系統10可透過使這些導線6〇的間隔和/或位置最 15 佳化來提尚該受模型化電路的性能。 如在第1圖中所示,系統10也可以包括執行與電子電路 之叹计、模擬或製造有關之各種任務的一個或多個模組(諸 如模組22、24和26)。在系統10的特定實施例中,由這些模 組所提供之功能的範例包括,但不限於邏輯綜合、細胞佈 20局、安排路由、時鐘分析、時序和功率分析以及設計驗證。 忒所提供的特定功能取決於系統丨〇之特定實施例的組態和 旎力。例如,在該所說明實施例中,系統1〇包括提供如下 文所述之功能的一佈局模組22、一安排路由模組24以及一 最佳化模組26。儘管為了說明的目的,以下描述側重系統 200928839 ίο的一特定實施例,其中在該實施例中系統1〇提供某些設 计和模擬功能,但是更加一般地,系統1〇可提供與電子電 路之設計、模型化和/或模擬有關的任何合適功能。 • 模組22、24和26可代表資料處理系統10中的實體構 .5 件、在資料處理系統10上運行的軟體程序和/或其他形式的 • 可计异或處理資源。在特定實施例中,模組22、24和26代 表在處理器20上執行的軟體程序。例如,模組22、24和% Q 可各自代表諸如一佈局及佈線工具之一 EDA電腦應用程式 的一部分,和/或由此一應用程式產生的軟體程序^然而, * 1〇更加一般地,模組22、24和26各自可代表或包括適於提供 所述功能之軟體和/或硬體的任何集合。 在操作中,系統10提供完成或有助於完成與電子電路 ' 之設計、測試和/或製造有關之某些步驟的功能。特別地, 該所說明的實施例包括提供特定設計功能的佈局模組22、 15 安排路由模組24以及最佳化模組26。由於佈局模組22、安 Q 排路由模組24以及最佳化模組26的操作,系統10之該所說 明實施例的使用者可產生可用於相關電路之模擬、測試和/ 或製造的電路模型50。 作為一示範設計流程的一部分,系統1〇可(例如從記憶 20 體3〇)接收、產生或擷取資訊,該資訊識別内容以及要由系 統10模型化之一電氣電路中的各種電子構件之間的關係。 例如’佈局模组22可接收指明一電子電路中之電路構件之 一集合的資訊以及產生一相對應的電路模型50以及電路模 型50中之電路構件之間的一組連接體。作為一特定範例, 200928839 系統ίο可接收定義多個電路元件以及該等所定義電路元件 之間的一個或多個連接體的一網路連線表52。在特定實施 例中,網路連線表52可代表一超高速積體電路硬體描述語 言(VHDL)、Verilog或其他合適的(一個或多個)硬體描述扭 5 言構案。然而’更加一般地’網路連線表52可代表定義包 括在該電子電路中的電路元件以及這些電路元件之間的連 接體的任何合適資訊。 系統10可基於該資訊產生一電路模型50,該電路模型 50包含或參考該資訊並且模型化該相關電子電路的組成和 10 /或操作。系統10可接收、產生或擷取描述包括在該相關電 子電路中的各種構件的資訊。例如,在特定實施例中,網 路連線表52可能與包含多個元件模組56或其他電路元件的 一細胞程式館54相關聯,該等元件模組56各自代表一特定 邏輯閘,該等其他電路元件可在電路模型5〇中隨需要一次 15 或多次實例化,以形成該相關電子電路的一精確模型。 系統10也可接收、產生或擷取描述由系統10待設計之 電子電路所滿足的額外要求或限制的資訊。例如,系統1〇 可接收 '產生或擷取設計規則58,該設計規則58包括佈局 和安排路由限制、該相關電子電路的功率要求,以及指導 20設計網路連線表52所定義之電子電路的其他資訊。模組 22、24和26可在設計該電子電路中使用該資訊。 一旦系統10已產生、接收或擷取電路模型5〇以及任何 相關聯的元件模型56,佈局模組22可把與電子電路的各種 電路兀件相對應的元件模型56放在電路模型50中。佈局模 200928839 5 e • 10 15 ❹ 20 組22可基於網路連線表52所指明的連接體、設計規則财 所包括的限制和/或與該相關電子電路相關聯的其他合適 資訊選擇這些元件模型56的位置。此外,在特定實施例中, 佈局模組22可把各種元件模型56放在適當的位置,以使在 該等各種元件模型%之間傳送的一些信號或全部信號的信 號延遲最小化,以降低這些信號之間的干擾,以限制相對 應讀之間的時鐘偏斜和/或實現其他合適的目的。 此外,在特定實施例中,佈局模組22可對包括在電路 模型5〇中的元件模型56執行全自動化佈局。在備選實施例 中’佈局模組22可從使用者接收輸入,以允許該使用者部 分地或整體地手動放置元件模型56。在又_些備選實施例 中’佈局模組22可至少部分地基於從其他構件或工具所接 收的佈局資訊放置元件模㈣。此外,在特定實施例中, 系統1〇可以以元件模型56已由外部佈局工具放置開始在電 路模型50上操作,以及沒有佈局可由系統10執行。一旦佈 局完成,佈局模組22(和/或系統1〇的其他合適元件)可執行 時鐘樹合成、功率安排路由和/或其他合適的後佈局 (post-placement)操作。 此外,元件模型56已放在電路模型中以後,安排路由 模組24(或祕_其他合適構件)可騎接電路模㈣之 元件模型56的各種網安排路由。作為對這些網安排路由的 一部分,安排路由模組24可對每一網產生用於連接盘該網 相關聯之電路元件的合適埠之導_的模型。在特定實施 例中,系統H)基於與電路模型%相關聯的設計規則%和/或 11 200928839 細胞程式館54對所識別連接體安排路由。設計規則58可定 - 隔要长層限制、物件大小和/或該系統10在對該等所 識別連接體安排路由時考慮的其他限制。作為對這些連接體 安排路由的-部分,系統1〇可產生連接該相關元件模型兄 5的特疋:端之一導線(在顯示器40中由導線60中的任-導線 ' 生動表示)賴型,以及將這些導線叫人電路模卿。 ; 因為導線60與電路模型5〇中的其他物件(諸如其他冑 ·
線6〇)之間的間隔影響在導線6〇上穿行之信號所感應的延 遲’其可能期望的是盡可能遠地間隔導線60。然而,增加 Q H)導線之間關隔對電路模型咖言可能導致令人無法接《 之大的整體大小。共同地,其可能無法和/或不期望對電路 . 模型50中的每—導線6G提供最大間隔。 然而,在許多電路中,電路的整體速度僅由少量關鍵 網來管理。例如,在某些實施例中,在一電路中經歷最長 15延遲的信號可能限制該電路可實現的最大操作速度。在其 他實施例中’某些特定信號中的延遲可能引起基於那些信 號或由其觸發之許多其他信號中的延遲。因此,僅增加某 ◎ 些關鍵網的間隔可顯著地提高電路的性能,而沒有增加電 路的整體大小或増加可忽略不計。 20 因此’在特定實施例中,系統10可識別受模型化之電 路中的某些關鍵網,然後可使用連接那些關鍵網之終端的 導線60的特定間隔距離。系統10可對連接所有其他網的導 線使用較小的標準間隔。例如,在特定實施例中,透過加 倍用於關鍵網的導線60以及該相關電路中之其他導線60之 12 200928839 間的間隔,系統10可減小特定電路中的信號延遲達20%, 而電路大小的增加可忽略不計。 5 Ο 10 15 20 然而,在某些實施例中,系統10的構件可能不能提供 該最佳化作為該標準安排路由流程的一部分。例如,在特 定實施例中,安排路由模組24可能是不允許使用者對不同 網設定不同間隔要求之一商業可得佈局及佈線軟體應用程 式的一部分。因此,系統10的特定實施例可包括能夠識別 關鍵網和/或使用來對這些關鍵網安排路由之導線60的間 隔最佳化的一最佳化模組26。例如,在特定實施例中,最 佳化模組26可代表可結合或加入一商業可得佈局及佈線工 具使用的一加上(add-on)或輔助應用程式。該加上或輔助應 用程式能夠修改由該佈局及佈線工具執行的標準安排路由 流程,以利於使用於此所述的間隔技術。 最佳化模組26透過識別關鍵網開始一示範最佳化流 程,其中在該等關鍵網上使用這些特定間隔距離。最佳化 模組26可任何合適的方式識別這些關鍵網。例如,在特 定實施例巾,純10可基於元件模型56在電路模型5〇中的 佈局估計驗每-網的導線長度m㈣可將具有最 長導線長度估計之網的某—數目識別為關_,將具有大 於-特定臨界值長度之-導線長度料㈣有網識別為關 鍵網,或基於該科線長度估計祕何其他合適的方式識 別關鍵網之-集合。然而,更加-般地,系統啊利用任 何合適的因數或考慮來識職等關鍵網。此外,在特定實 施例中’系統10的㈣者可透過手浦人_網或透過提 13 200928839 供指明關鍵網_案來指明該等關鍵網。 識别關鍵網後’則最佳化模組26可用導線60與電路模 型对的其他相關物件(諸如其他導_)之pa1増加的間隔 ^生用於這些關鍵網的導線6〇,或最佳化模組26可修改由 5安排路由模組24形成的導線6(),以滿足增強的間隔要求。, 系先可又組配以使在安排路由期間透過合適的構件(諸 =安排路由模組24)自動地實現關隔最佳化。因此,在特 - 疋實把例中’安排路由模組24可隨著增強的間隔要求對該 等關鍵網安排路由,作為正常安排路由流輯—部分。目 ❹ 1〇此最佳化換組26可部分地或整體地代表如同安排路由模 組24的構件。 然而,因為在系統10的某些實施例中,導線60之間的 間隔可硬編碼,所以其可能無法僅對選定網改變間隔作為 標準安排路由流程的_部分。因此,在這些實施例中,最 15佳化模組26可修改由安排路由模組24實現的安排路由,以 實現改良的導線間隔。在這些實施例中,最佳化模組26可 在安排路由之前和/或之後採取任何合適的步驟來修改由 ◎ 安排路由模組24產生的導線安排路由或使安排路由模組% 改變該安排路由模組24產生這些安排路由的方式。 :〇 例如,在特定實施例中,導線寬度可較導線之間的間 隔更容易調整。因此,在這些實施例中,最佳化模組26可 在安排路由模組24執行安排路由之前對要在對該等關鍵網 安排路由中所使用的導線6〇的寬度設定大於將用於那些導 線60之預設寬度的一值。因此,則安排路由模組24使用大 14 200928839 於用於那些導線60之預設導線寬度的一導線寬度對這些關 鍵網安排路由,藉此由於這些較寬的導線60而迫使安排路 由模組24間隔其他導線60進一步遠離關鍵網導線60的中 線。在特定實施例中’用於關鍵網的導線寬度可大於該預 5 設導線寬度達兩倍該預設最小間隔。 然而,該增大的導線寬度本身可能不在關鍵網導線6〇 的邊界與相鄰導線60的邊界之間導致任何較大的間隔。因 此’最佳化模組實質上可在完成安排路由後使關鍵網導線 60的寬度減小為該預設導線寬度。因此,增加了任何關鍵 10 網導線60與其最接近相鄰導線60之間的距離,藉此減小在 那些導線60上穿行之信號所遭受的延遲。如上所述,在特 定實施例中,關鍵網用大於該預設導線寬度達兩倍該預設 最小間隔的導線60佈線。因此,當用於關鍵網的導線6〇減 小為該預設寬度時,用於關鍵網之該等導線6〇中的每—導 15 線將與相鄰導線6 0分開至少兩倍該預設間隔。第2 a _ 2 c圖更 加詳細地說明該流程。 對電路模型50安排路由以及執行任何合適的最佳化 後,系統10可將電路模型50的已安排路由版本,包括所放 置元件模型56和用於導線60的模型儲存在記憶體3〇中。在 特定實施例中,然後該已安排路由電路模型5〇可輸出用於 在與電路模型50相對應之—電路的製造中使用或在用於生 產之前經分析和校正來解決其他設計問題。在特定實施例 中,由該製造產生的電子電路將在電路模型5〇的受模型化 設计中利用在與該等關鍵網相關聯之導線6 〇附近的所增加 15 200928839 10 15 20 ^隔由Μ電路的範例在下文中參考第3圖進行对炎。 的信,二=在與關鍵網相關聯之導線6:上穿行 開之‘卜、、 购該等相騎6__設間隔分 Wf這些錢將__遲縣較少的延遲。因 操作。定實關中,所製造的電路模型5g可在較大速度 辦加2外在特定實施例中,該較快速度可用較小大小 :姻· %其巾該大小增加較在改變設計規靠而對所 、,两要增加的間隔之情況下所產生的大小增加較小。此 外,透過如上獅樣調整_網的導線寬度,系统10的特 定實施例能夠實現所增加的操作速度,而為安排路由模組 24帶來微小改變或不改變安排路由模組24。此外,在特定 實施例中’該所增加的間隔可能導致較小的整體導線電 谷’而14可能減小關鍵網中的動態功率消耗。因此,系統 10的特疋實^>例可以提供許多利益。但是某些實施例可能 提供这些利益中的-些、全部或不提供該等利益。 儘管以上描述侧重其中最佳化模組26自動執行最佳化 之系統10的一實施例,特別是使用者可手動命令系統10執 行該等最佳化步驟中的—些或全部之系統1G的實施例。因 此在這些實施例中,最佳化模組26可部分地或整體地代表 使用者介面和/紐案存取構件,其在安斜由之前允許使 用者調整導線寬度設定,以在安排路由後減小導線寬度, 以及/或者執行與增加用於關鍵網之導線間隔有關的其他 任務。 第2A-2C圖說明可在系統1〇的特定實施例中實施的示
16 200928839 範性導線間隔。更加特別地,第2A圖說明安排路由模組24 根據設計規則58產生的用以連接非關鍵網上的多個終端 210的一導線60a。如在第2A圖中所示,導線6〇a具有—第一 導線寬度(由箭頭220a表示)。如上所述,安排路由模組% 5 可對產生用於非關鍵網的導線6 0之一些或全部使用該第一 寬度。此外,亦如在第2A圖中所示,導線6〇a透過一預設間 隔(由箭頭230a表示)與其他導線6〇x分開。 第2B圖說明一導線60b,其中在特定實施射,安排路 由模組24可能最初產生該導線 10多個終端。如在第2B圖中所示,導線6〇b具有一第二導線寬 度(由箭頭220b表示)。如上所述,在特定實施例中安排路 由模組24可產生具有該第二寬度的導線,以實現用於所有 關鍵網的連接體。此外,亦如在第2B圖中所示,導線6〇b 透過該預設間隔(由箭頭230a表示)與其他導線6〇χ分開。 15 第2C圖說明在最佳化模組26調整導線6〇b之寬度後的 導線60b。如上所討論,最佳化模組26可在安排路由模組對 這些網執行最初安排路由後調整與關鍵網相關聯之導線6〇 的寬度。特別地,最佳化模組26可將與關鍵網相關聯之導 線60的寬度減小為該預設寬度。因此,如在第2(:圖中所示, 20 在最佳化模組26調整導線6〇b的寬度後,導線6〇1)具有該第 一導線寬度(再次由箭頭22〇a表示)。然而,亦如在第2(:圖 中所示,導線60b現透過較該預設間隔大的間隔數量(由第 2C圖中的箭頭230b表示)與其他導線60x分開。在特定實施 例中,在最佳化模組26調整導線6〇b的導線寬度後,導線6〇b 17 200928839 與其他導線60x分開達設計規則58所定義之該預設間隔的 兩倍。此外’在某些實施例中,該兩倍間隔可在用該所增 加間隔安排路由之導線6〇b中導致一減小20%的信號延遲。 第3圖顯示可從使用系統10的特定實施例設計的電路 模型50產生的一示範性電子電路3〇〇。電子電路3〇〇包括多 個電路構件310。如在第3圖中所說明,電子電路3〇〇的電路 元件310透過金屬導線360彼此連接以及連接到墊片320。與 關鍵網相關聯的金屬導線360(諸如金屬導線360a和360b)根 據一第一最小距離要求分開(由箭頭362a顯示)。與其他網相 © 1〇 關聯的金屬導線36〇(諸如金屬導線360c和360d)根據一第二 最小距離要求分開(由箭頭362b顯示)。因為在該所說明的實 施例中’關鍵網以較其他網大的間隔要求安排路由,所以 基於系統10所產生之設計生產的電子電路可能顯示出改良 的速度和/或性能。 15 第4圖是詳述系統10之一示範性操作的一流程圖。特別 地’第4圖說明系統10的操作’其透過在安排路由之前設定 關鍵網的導線寬度以及一旦完成安排路由則減小這些導線 Ο 的寬度來增加用於關鍵網的導線間隔。在第4圖中所說明的 步驟可在時宜時組合、修改或刪除。額外的步驟也可加入 20 該示範性操作。此外,該等所述步驟可用任何合適的順序 執行。 在第400步,系統1〇之所述實施例的操作以系統1〇接收 與待使用系統10設計之一電子電路相關聯的網路連線表52 開始。在特定實施例中,網路連線表52描述或識別組成該 18 200928839 5 ❹ 10 15 參 電子電路的多個電路元件以及定義這些電路元件之間的多 個連接體。在特定實施例中,在第步,系統10可將與這 二電路元件相對應的元件模型56放在電路模型50中。如上 所时淪,元件模型56可基於網路連線表52、細胞程式館54、 a计規則58和/或與該相關電子電路相關聯的其他合適資 訊放置。此外,在特定實施例中,系統10可選擇各種元件 模尘%的位置,藉此使在該等各種元件模型%之間所傳送 之信號中的—些信號或全部信號的信號延遲最小化,以減 小這些4號之間的干擾來限制該等相對應元件之間的時鐘 偏斜’以及/或者實現其他合適的目的。 在第420步’系統10識別由關鍵網之網路連線表52所定 義之連接體的—子集。系統1G可基於時序㈣、導線長度 估計或與該等所識別關鍵網相關聯的其他合適因素識別關 鍵網。在特定實施例中,系統10可在電路模型50中標記這 些關鍵網或在記憶體3 〇中儲存識別該等關鍵網的資訊。 然後系統10對該電子電路的連接體安排路由。作為對 這些連接體安排路由的一部分,在第430步,系統1〇用一第 一組導線對該等所識別關鍵網安排路由。該第一組導線全 部具有等於或大於與電路模型5〇相關聯之一第一導線寬度 的一寬度。在特定實施例中,設計規則58包括定義特定類 型導線60之—最小間隔的資訊,其中該等導線60在與設計 規則58相關聯的電路模型50中受安排路由。因此,該第一 組導線可用位於該第一組導線中之每一導線的邊界與電路 模型50中之某些其他目標(例如同一金屬層上的其他導線 20 200928839 60)之間的一最小間隔安排路由。 系統10也對該電子電路中之剩餘網之一些或全部安排 路由。更加特別地,在特定實施例中,在第440步,系統10 用一第二組導線對剩餘網安排路由。該第二組導線全部具 5 有等於或小於與電路模型50相關聯之一第二導線寬度的一 寬度。該第二導線寬度小於該第一導線寬度。在特定實施 例中,該第二組導線可用位於該第二組導線中之每一導線 的邊界與電路模型50中之相關目標之間的同一最小間隔安 排路由。 ίο 對該電子電路之該等網安排路由後,系統10可修改電 路模型50,以使電路模型50中的導線60的間隔最佳化。例 如,在特定實施例中,在第450步,系統10可用一第三組導 線60取代該第一組導線60。該第三組導線60全部具有等於 或小於該第二導線寬度的一寬度。 15 因為根據基本網將使用較大導線60安排路由之假設來 對該第一組導線60安排路由以滿足最小間隔要求,所以保 持以下最佳化的該第三組導線60與電路模型50中的其他目 標之間的距離大於該第三組導線6 0最初用該第二導線寬度 安排路由將產生的距離。因此,由於該最佳化步驟,在與 20 這些關鍵網相關聯之導線60上穿行的信號將較在對電路模 型50該等相關導線60以該預設間隔分開之情況下這些信號 將經歷的延遲經歷較小的延遲。因此,在特定實施例中, 由電路模型50產生的電子電路可以較大速度操作。 然後在460步,可儲存電路模型50,包括所有剩餘導線 200928839 60。在梢步,電路模⑽可㈣統_—合適電子電路格 式輸出’其巾該電子電路基於電賴型5峰製造。然後如 在第4圖t所示,系統10之關於該相關電子電路之設計和模 5
15
型化的操作可結束。電路模型5〇由系統1〇輸出後,電子電 路可基於電路模型50來製造。 、儘s本發明已用若干實施例進行描述,但是本技術領 域^通常知識者將建議大量的改變、變化、變動、轉換以 G改以及本發明意欲包含落入所附申請專利範圍之範 圍内的這些改變、變化、變動、變換以及修改。 tea式簡單說明】 第1圖說明用於設計和模型化具有改良性能之電子電 路的—系統; 〇第2A-2c圖顯示第i圖的系統在對電子電路安排路由時 可如何根據特定環境_導線的範例; 第 3 isi 說明可使用第1圖之系統的特定實施例設計的一 電路範例;以及 第圖疋說明一種用於產生具有改良性能之一電子電 旲型之不範性方法的一流程圖。 21 200928839 【主要元件符號說明】 10…系統 58…設計規則 20…處理器 60a、60x…導線 22…佈局模組 220a、220b、230a、230b…箭頭 24…安排路由模組 300…電子電路 26…最佳化模組 310…電路構件/電路元件 30…記憶體 320…墊片 40…顯示器 360a、360b、360c、360d.··金 50…電路模型 屬導線 52…網路連線表 362a、362b…箭頭 54…細胞程式館 56…元件模型 400〜470···流程步驟 〇 22

Claims (1)

  1. 200928839 七、申請專利範圍: 1. 一種用於模型化一電路的方法,其包含以下步驟: 接收定義多個電路元件之間的多個連接體的一網 路連線表; « - 5 識別該等連接體的一子集; 用具有一第一導線寬度的一第一組導線對該等所 識別的連接體安排路由; 用一第二導線寬度對該等剩餘連接體之至少一部 ^ 分安排路由,其中該第二導線寬度小於該第一導線寬 10 度;以及 用具有該第二導線寬度的一第三組導線取代該第 . 一組導線。 - 2.如申請專利範圍第1項所述之方法,其中識別連接體的 一子集包含基於與該子集中之每一連接體相關聯的一 15 個或多個導線長度估計識別一子集。 3. 如申請專利範圍第1項所述之方法,其中: 用該第一組導線對該等所識別的連接體安排路由 包含基於一最小間隔距離對該第一組安排路由; 對該等剩餘連接體之至少一部分安排路由包含基 20 於該最小間隔距離對該等剩餘連接體之至少一部分安 排路由,且其中該第一導線寬度等於該第二導線寬度加 上該第二間隔距離的兩倍。 4. 如申請專利範圍第1項所述之方法,其中識別該等連接 體的該子集包含接收指明該子集中之該等連接體的一 23 200928839 列表。 5.如申請專利範圍第1項所述之方法,其中識別該等連接 體的該子集包含基於與該等所識別的連接體相關聯的 一個或多個時序要求識別連接體的該子集。 5 6.如申請專利範圍第1項所述之方法,其中該等多個電路 元件中之至少一個元件包含一電晶體。 7. 如申請專利範圍第6項所述之方法,其中該電晶體包含 一接面場效電晶體(JFET)。 8. 如申請專利範圍第1項所述之方法,其進一步包含產生 10 包含該等電路元件中之每一元件之一模型的一電路模 型,且其中: 用具有一第一導線寬度的一第一組導線對該等所識 別的連接體安排路由包含對該等所識別的連接體中之每 一連接體產生具有一第一導線寬度且連接與該連接體相 15 關聯之兩個或多個電路元件之模型之一導線的一模型; 用一第二導線寬度對該等剩餘連接體之至少一部 分安排路由包含對該部分剩餘連接體中之每一連接體 產生具有一第二導線寬度且連接與該連接體相關聯之 兩個或多個電路元件之模型之一導線的一模型。 20 9.如申請專利範圍第8項所述之方法,其中用具有該第二 導線寬度的一第三組導線取代該第一組導線包含對該 等所識別的連接體中之每一連接體產生具有該第二導 線寬度且連接與該連接體相關聯之兩個或多個電路元 件之模型之一導線的一模型。 24 200928839 ίο. —種用於產生一電路之一模型的系統,其包含: 可操作以儲存處理器指令的一記憶體;以及 當執行處理器指令時可操作以執行如下步驟的一 處理器: 5 接收定義多個電路元件之間的多個連接體的 _ 一網路連線表; 識別該等連接體的一子集; 用具有一第一導線寬度的一第一組導線對該等所 ^ 識別的連接體安排路由; 10 用一第二導線寬度對該等剩餘連接體之至少一部 分安排路由,其中該第二導線寬度小於該第一導線寬 - 度;以及 - 用具有該第二導線寬度的一第三組導線取代該第 一組導線。 15 11.如申請專利範圍第10項所述之系統,其中該處理器可操 作以: 參 透過基於一最小間隔距離對該第一組安排路由來 用該第一組導線對該等所識別的連接體安排路由; 透過基於該最小間隔距離對該等剩餘連接體之至 20 少一部分安排路由對該等剩餘連接體之至少一部分安 排路由,且其中該第一導線寬度等於該第二導線寬度加 上該第二間隔距離的兩倍。 12.如申請專利範圍第10項所述之系統,其中該處理器可操 作以透過接收指明該子集中之該等連接體的一列表來 25 200928839 識別該等連接體的該子集。 13.如申請專利範圍第10項所述之系統,其中該處理器可操作 以透過基於與該等所識別的連接體相關聯的一個或多個時 序要求識別連接體的該子集來識別該等連接體的該子集。 5 14.如申請專利範圍第10項所述之系統,其中該等多個電路 元件中之至少一個元件包令—電晶體。 15. 如申請專利範圍第14項所述之系統,其中該電晶體包含 一接面場效電晶體(JFET)。 16. 如申請專利範圍第10項所述之系統,其中該處理器進一 10 步可操作以: 產生包含該等電路元件中之每一元件之一模型的 一電路模型; 對該等所識別的連接體安排路由包含對每一連接 體產生連接與該連接體相關聯之該等電路元件中之兩 15 個或多個元件之模型之一導線的一模型; 對該等剩餘連接體之至少一部分安排路由包含對 該部分剩餘連接體中之每一連接體產生連接與該連接 體相關聯之該等電路元件中之兩個或多個元件之模型 之一導線的一模型。 20 17. —種包含於電子媒體中編碼之電腦可讀指令的電腦產 品,當執行時該等指令可操作以: 接收定義多個電路元件之間的多個連接體的一網 路連線表; 識別該等連接體的一子集; 200928839 用具有一第一導線寬度的一第一組導線對該等所 識別的連接體安排路由; 用一第二導線寬度對該等剩餘連接體之至少一部 分安排路由,其中該第二導線寬度小於該第一導線寬 - 5 度;以及 镛 用具有該第二導線寬度的一第三組導線取代該第 一組導線。 18. 如申請專利範圍第17項所述之電腦產品,其中該等指令 ❹ T操作以: 10 透過基於一最小間隔距離對該第一組安排路由來 用該第一組導線對該等所識別的連接體安排路由; ' 透過基於該最小間隔距離對該等剩餘連接體之至 . 少一部分安排路由對該等剩餘連接體之至少一部分安 排路由,且其中該第一導線寬度等於該第二導線寬度加 15 上該第二間隔距離的兩倍。 19. 如申請專利範圍第17項所述之電腦產品,其中該等指令 可操作以透過接收指明該子集中之該等連接體的一列 表識別該等連接體的該子集。 20. 如申請專利範圍第17項所述之電腦產品,其中該等指令 20 可操作以透過基於與該等所識別的連接體相關聯的一 個或多個時序要求識別連接體的該子集來識別該等連 接體的該子集。 21. 如申請專利範圍第17項所述之電腦產品,其中該等多個 電路元件中之至少一個元件包含一電晶體。 27 200928839 22. 如申請專利範圍第21項所述之電腦產品,其中該電晶體 包含一接面場效電晶體(JFET)。 23. 如申請專利範圍第17項所述之電腦產品,其中該等指令 進一步可操作以: 5 產生包含該等電路元件中之每一元件之一模型的 一電路模型; 對該等所識別的連接體安排路由包含對每一連接 體產生連接與該連接體相關聯之該等電路元件中之兩 個或多個元件之一導線的一模型; 10 對該等剩餘連接體之至少一部分安排路由包含對 該部分剩餘連接體中之每一連接體產生連接與該連接 體相關聯之該等電路元件中之兩個或多個元件之一導 線的一模型。 24. —種用於模型化一電路的系統,其包含: 15 用於接收定義多個電路元件之間的多個連接體的 一網路連線表的裝置; 用於識別該等連接體之一子集的裝置; 用於用具有一第一導線寬度的一第一組導線對該 等所識別的連接體安排路由的裝置; 20 用於用一第二導線寬度對該等剩餘連接體之至少 一部分安排路由的裝置,其中該第二導線寬度小於該第 一導線寬度;以及 用於用具有該第二導線寬度的一第三組導線取代 該第一組導線的裝置。
TW097147997A 2007-12-19 2008-12-10 System and method for routing connections TW200928839A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/960,452 US7689964B2 (en) 2007-12-19 2007-12-19 System and method for routing connections

Publications (1)

Publication Number Publication Date
TW200928839A true TW200928839A (en) 2009-07-01

Family

ID=40347789

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097147997A TW200928839A (en) 2007-12-19 2008-12-10 System and method for routing connections

Country Status (3)

Country Link
US (1) US7689964B2 (zh)
TW (1) TW200928839A (zh)
WO (1) WO2009079244A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI408471B (zh) * 2009-11-23 2013-09-11 Au Optronics Corp 顯示裝置

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8957511B2 (en) 2005-08-22 2015-02-17 Madhukar B. Vora Apparatus and methods for high-density chip connectivity
US7745301B2 (en) 2005-08-22 2010-06-29 Terapede, Llc Methods and apparatus for high-density chip connectivity
JP4892068B2 (ja) * 2010-01-29 2012-03-07 株式会社東芝 情報処理装置及び画像表示方法
US8539388B2 (en) * 2010-07-14 2013-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for low power semiconductor chip layout and low power semiconductor chip
CN102467582B (zh) 2010-10-29 2014-08-13 国际商业机器公司 一种集成电路设计中优化连线约束的方法和系统
US20140167815A1 (en) * 2012-12-18 2014-06-19 Broadcom Corporation Area reconfigurable cells of a standard cell library
US9003351B1 (en) * 2014-01-08 2015-04-07 Freescale Semiconductor, Inc. System and method for reducing power consumption of integrated circuit
US9384316B2 (en) 2014-07-09 2016-07-05 International Business Machines Corporation Path-based congestion reduction in integrated circuit routing
US9589521B2 (en) * 2014-11-20 2017-03-07 Shenzhen China Star Optoelectronics Technology Co., Ltd. Liquid crystal display apparatus having wire-on-array structure

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4675696A (en) * 1982-04-07 1987-06-23 Canon Kabushiki Kaisha Recording apparatus
US6230304B1 (en) 1997-12-24 2001-05-08 Magma Design Automation, Inc. Method of designing a constraint-driven integrated circuit layout
US6449761B1 (en) * 1998-03-10 2002-09-10 Monterey Design Systems, Inc. Method and apparatus for providing multiple electronic design solutions
US6305004B1 (en) 1999-08-31 2001-10-16 International Business Machines Corporation Method for improving wiring related yield and capacitance properties of integrated circuits by maze-routing
JP2001306640A (ja) * 2000-04-17 2001-11-02 Mitsubishi Electric Corp 自動配置配線手法、自動配置配線装置、および半導体集積回路
JP2002368088A (ja) 2001-06-05 2002-12-20 Fujitsu Ltd ダミーパターン発生工程とlcr抽出工程とを有するlsi設計方法及びそれを行うコンピュータプログラム
JP2005072217A (ja) 2003-08-25 2005-03-17 Matsushita Electric Ind Co Ltd 配線パターン発生方法
AU2003303961A1 (en) * 2003-12-29 2005-07-21 Motorola, Inc. Circuit layout compaction using reshaping
US7392497B2 (en) * 2004-07-20 2008-06-24 International Business Machines Corporation Regular routing for deep sub-micron chip design
US7380231B2 (en) * 2005-06-06 2008-05-27 Nannor Technologies Wire spreading through geotopological layout
US7325216B2 (en) 2005-11-09 2008-01-29 Lsi Logic Corporation Method and computer program for spreading trace segments in an integrated circuit package design
US7657859B2 (en) * 2005-12-08 2010-02-02 International Business Machines Corporation Method for IC wiring yield optimization, including wire widening during and after routing
US7398485B2 (en) 2006-04-11 2008-07-08 International Business Machines Corporation Yield optimization in router for systematic defects
US7772056B2 (en) 2007-06-18 2010-08-10 University Of Utah Research Foundation Transistors for replacing metal-oxide semiconductor field-effect transistors in nanoelectronics

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI408471B (zh) * 2009-11-23 2013-09-11 Au Optronics Corp 顯示裝置

Also Published As

Publication number Publication date
US7689964B2 (en) 2010-03-30
US20090164963A1 (en) 2009-06-25
WO2009079244A1 (en) 2009-06-25

Similar Documents

Publication Publication Date Title
TW200928839A (en) System and method for routing connections
JP4940013B2 (ja) 半導体装置に対する同時動作信号ノイズ見積り方法、およびプログラム
US8661395B2 (en) Method for dummy metal and dummy via insertion
US20050193178A1 (en) Systems and methods for flexible extension of SAS expander ports
CN108604106B (zh) 侧信道感知的自动布局和布线
US6687889B1 (en) Method and apparatus for hierarchical clock tree analysis
CN1862968B (zh) 集成电路以及设计集成电路的方法
JP3412745B2 (ja) 半導体回路におけるクロック供給装置およびその設計方法
JP2007219930A (ja) シミュレーション方法およびプログラム
CN109885850B (zh) 一种局部寄存器的生成方法及生成系统
US10565340B2 (en) Field-effect transistor placement optimization for improved leaf cell routability
JPWO2009037738A1 (ja) 引出し配線方法、引出し配線プログラムおよび引出し配線装置
JPH113945A (ja) 半導体集積回路のクロックツリー設計方法及びそれによる半導体集積回路
US7526744B2 (en) Integrated circuit design method for efficiently generating mask data
JP2012137986A (ja) 半導体集積回路のレイアウト設計装置、半導体集積回路のレイアウト設計方法及びプログラム
CN116882357B (zh) 芯片缓冲器的布局处理方法、装置、终端设备及存储介质
JP2004186257A (ja) 半導体集積回路および遅延計算方法
US8042076B2 (en) System and method for routing connections with improved interconnect thickness
JPH09309034A (ja) データ変換・管理システム及びcadシステム
JP4603961B2 (ja) 設計支援システム及び設計支援方法
JP2000353745A (ja) 複数クロック間のクロックスキュー低減方法及び装置
JP2000215223A (ja) スキャンパス接続装置
JP2001257269A (ja) 遅延時間調整方法および半導体集積回路
JP3818191B2 (ja) ソースシンクロナス・ソフトマクロ、および、情報処理装置
JPH07240468A (ja) 半導体装置の信号線の形成方法