TW200924017A - Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body - Google Patents

Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body Download PDF

Info

Publication number
TW200924017A
TW200924017A TW097142009A TW97142009A TW200924017A TW 200924017 A TW200924017 A TW 200924017A TW 097142009 A TW097142009 A TW 097142009A TW 97142009 A TW97142009 A TW 97142009A TW 200924017 A TW200924017 A TW 200924017A
Authority
TW
Taiwan
Prior art keywords
temperature
temperature control
gas
heat transfer
heating
Prior art date
Application number
TW097142009A
Other languages
English (en)
Other versions
TWI508129B (zh
Inventor
Rajinder Dhindsa
Henry Povolny
Jerry K Antolik
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200924017A publication Critical patent/TW200924017A/zh
Application granted granted Critical
Publication of TWI508129B publication Critical patent/TWI508129B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1919Control of temperature characterised by the use of electric means characterised by the type of controller
    • G05D23/192Control of temperature characterised by the use of electric means characterised by the type of controller using a modification of the thermal impedance between a source and the load
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/20Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature
    • G05D23/22Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature the sensing element being a thermocouple
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Description

200924017 六、發明說明: 【相關申請案交互參照】 本專利申請案基於35 U.S.C 119主張於2007年10月31日提 出申請的美國臨時申請案第61/001,112號之優先權,名稱為『利 用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模 - 組』,且此基礎申請案之整體内容併入參考。 、 【發明所屬之技術領域】 尤有關於用於半導體處理 本發明係關於一種溫度控制模組 室之溫度控制模組。 f 【先前技術】 電漿處理設備以包含蝕刻、物理氣相沉積、化學氣象沉 光阻移除的技術來處理基材。用於電_理的電裝 iff其ir種’包含具有頂部與底部電極的—反應室。在兩 立電場以將處理氣體激發至賴狀態,俾處^反應室令 入極間的—電場,藉由激發處理氣體進 抆制《處理狀況之賴處理設備⑽善 用來 【發明内容】 用於半導體處理室的溫度控制模組包構 以可,使液體流經管子。- 该空間,該氣體源頭回應一 \具工泵係連接至 靜氣壓,並且該直办雁二,而可刼作增加每一空間中的 —OW ^ . ,00 ”工果口應該控制器,而可择作妯允在一介印 /皿度感測器適合測量賴處理 、'、工母-工間。 控制器,《處_件係躺料構件及供«訊給該 200924017 【實施方式】 ,士理半導體基材中,控制電漿的參數,例如電毁化學性質、 子旎置、密度以及分布、電子溫度等等,係希望改變電漿處理 ,果。除了這些㈣參數控制外’侷限電衆之賴室的表面溫度 來控制㈣化學性質,因而控制轉體基材例如晶圓的處 理結果。 f 緒蓮蓬頭式電極組件1G的—示範實關,用於處理 基材例如秒晶賴處理設備。該蓮蓬頭式電極組件 已厂包含頂部電極12的蓮蓬頭電極、岐於頂部電極i 一支持部分14以及一熱控制薄板ι6。 黯ίΪ制薄板16能用—穿過孔隙22的合適緊難2G(例如:帶 料控制雜16。該熱控制薄板16較佳由金屬的材 SC氣=管:制薄板%能包含徑向 包含底部電極和非必須靜電力夾緊 3;=r空處理室中,被放置於頂:電=下= 支;:34上义緊於基材支柱3〇的上 在其令加上基材社3G的域控制係藉由 頭式電i:不度==線==) 的溫度差距能達_⑽t 的^心與邊緣之間 如:3麟至咖瓦特),這^显^==更而功率階層時(例 芟化更為明顯而導致電漿颠刻 200924017 的不均勻降低無線電頻率動力蓮蓬頭式電極的溫度變化, 可以提供在生產運轉中,更均一的晶圓電漿蝕刻。 同樣地’在基材32爛期間,電衆氣體的反應離子與 晶圓-面上的部分材贿生化學反應’導致基材巾^ 的溫度差距達至50°C。由於局部晶圓溫度和晶圓上每一點的化^ 反應速率係相互關連’因此如果橫越晶圓面上的溫度改變得太 多,便會造成晶圓面上之材料的非均一蝕刻結果。如此一 需要存在一有能力維持均一基材溫度之基材支柱3〇。 考Ϊ整個賴處理構件⑽σ:蓮蓬狱電極組件1Q或 柱30)因使用期間所產生的熱而造成的溫度變化,在此描述的溫度 控制模組,能夠藉由維持該構件的中心和邊緣部份在一 範圍裡,提供變得更好的處理結果。更佳地,該構件從中心 緣的溫度變化係小於loot(例如:<75t:、<50°c、<25°C、< 10C或<5 C)。為了使橫過蓮蓬頭式電極組件1〇或基材支柱 的溫度變化最小化,該溫度控賴組包含獨立可控儀加熱/冷卻 區域為佳。 圖2A至圖2B說明一溫度控制模組1〇〇之實施例,其具有可 加熱或可冷卻之加熱/冷卻區域1〇2A、l〇2B、i〇2c,用以、為'電漿 暴露構件(如晶圓支柱30或蓮蓬頭式電極組件10)提供均一溫度。 圖2A s兒明溫度控制模組1〇〇的三維透視中斷視圖。圖說明溫 度控制模組100的橫剖面圖。圖2C說明溫度控制模組1〇〇的俯& 圖。圖2D至圖2F說明溫度控制模組100之附加實施例的橫剖面 圖。 、 如圖2A至圖2B所說明的,溫度控制模組1〇〇包含多數管道 104A l〇4B、104C,母一個都具有座落或嵌進在熱傳導構件mg 内的圓形内表面106A、106B、106C(圖示於圖2B)。在一實施例 中,熱傳導構件108是以鋁或鋁合金組成的一圓盤。具有圓形外 表S^mA、U2B、112C的管子110A、110B、110C係設置在每 一個该管道1〇4Α、104B、104C的内部。環狀空間114Α、Π4Β、 114C(圖示於圖2B)係座落於管道1〇4Α、104B、104C的内表面 200924017 106A、106B、106C 與管子 l10A、11〇B、n〇c 的外表面 ιΐ2Α、 112B、112C之間。此結構提供一同心管狀的安排,使得管子丨丨〇A、 110B、HOC在管道104A、104B、1〇4c内適合流動熱傳液體。在 管子110A、110B、110C周圍係適合包含熱傳氣體的環狀空間 114A、114B、114C,該氣體能加壓以增加介於熱傳導構件1〇8和 熱傳液體之間的熱傳導。 管道104A、104B、104C能夠相對於熱傳導構件1〇8的中心 同心地佈置’以提供同心的加熱/冷卻區域102A、l〇2B、102C。 為了進行冷卻,一熱傳液體流動於管子110A、11〇B、n〇c内。 在一實施例中,管道104A、104B、104C可以是三個個別的同心 管道。如圖2C所說明,熱傳液體分別經由個別的入口 116A、 116B、116C進入管子11〇人、1應、11〇〇並且以所希望的形態 流動於熱傳導構件108内。該熱傳液體分別經由個別出口 118A、 118B、118C離開管子110A、110B、ll〇C。就此實施例而言,傳 遞到流動於管子110A、110B、ll〇C中之熱傳液體的熱量,能經 由控制溫度和/或液體流動速率的控制器分別控制。 對於使用在管子110A、110B、110C的熱傳液體可以為任何 具有合適熱傳性質之液體。舉例來說,該熱傳液體可以為水(例如: 去離子水)、乙烯乙二醇、矽油、水/乙烯乙二醇的混合物及其類似 物。該熱傳液體的冷卻效果可以藉由使用不同液體和/或不同液體 之混合物、改變液體流動速率、和/或改變液體初始溫度來加以控 制。為了進行加熱,該熱傳液體可被加熱。然而,就一較快的加 熱和冷卻反應時間而言,一冷凍的熱傳液體可循環於管子11〇A、 110B、110C内’並且加熱器元件i2〇A、120B、120C可以使用來 提高每一加熱/冷卻區域1〇2A、i〇2B、102C的溫度。 如圖2A和圖2B所圖示,環狀空間114A、114B、114C係介 於管道104A、104B、104C的内表面1〇6Α、106B、106C以及管 子110A、110B、110C的外表面112A、112B、112C之間所形成。 環狀空間Π4Α、114B、114C可容納一加壓熱傳氣體,例如:氦 氣、氖氣、氬氣或氮氣。在一實施例中,環狀空間H4A、114B、 200924017 即控向尺寸)係介於約1 2 3 4 5 6 7 8密爾_)至約100密爾(約 •至0.10央吋)之間,較佳為約50密爾(約0.05英叫)。 支撐結構122被設置介於管子11〇A、11〇B、u〇c的外表面 fB、U2C 以及官道 1〇4A、1〇4B、1〇4C 的内表面 106A、 、06C之間(如圖2B的放大區域c所圖示)。支撐結構 可包含突出物或帶有突出部的環形物。舉例來說,支撐結構122 可以為整合於管子11QA、漏、11GC之外表面n2A ll2B、ii2c f 的突出物或整合於管道綱a、104B、1〇4C之内表面1〇6a、i〇6b、 觸c的突出物。支撐結構122預防管子丨、削b、u 到官道 104A、1〇4Β、l〇4C 之内表面 ι〇6Α、106B、1〇6c。較佳 地,支撐結構122本質上維持介於管子n〇A、n〇B、u〇c之外 表面 112A、112B、112C 和管道 104A、104B、104C 之内表面 ι〇6Α、 10=廳C之間的均—空間114A、難、114C。為使介於熱傳 導構件108和熱傳液體間的熱傳導最小化,則介於支撐結構122 和内表面106A、106B、106C之間的表面接觸被最小化。支撐結 構122能以像是氮化矽或氧化鋁的熱絕緣材料構成。 溫度控制模組100較佳地包含與熱傳導構件1〇8熱接觸的獨 立控制加熱器元件120A、120B、120C。加熱器元件120A、12〇B、 120C可以係嵌進於熱傳導構件1〇8或接觸其外表面,以至少一加 巧元件120A、120B、120C座落於各自的加熱/冷卻區域。在一實 施例中,加熱器元件12〇A、120B、120C為電阻加熱元件。如果 希望加熱,管子110A、110B、11〇c藉由降低空間114A、u4B、 1 中的氣壓而為熱絕緣。該加熱器元件藉由啟動一個或多個加 2 熱器元件120A、120B、120C而給予精確的溫度控制。 3 ^在另一實施例中’管道104A、104B、104C可以為單一連續 4 =道的部分,且管子110A、110B、l1〇c可為連續單一管子的部 5 分。流動通道可為具有一個或多個進出口的螺旋狀、之字形或其 6 ,开久%。對此實施例而言’加熱器元件120A、120B、120C控制 7 每—加熱/冷卻區域102A、102B、102C的溫度。 二 8 在電漿處理期間,包含溫度控制模組1〇〇之構件的外表面溫 200924017 可以在不同絲聽域上料同的量。#由流動於管子應、 、noc的熱傳液體,在每一區域移除的熱 ==,.、賭中的熱傳氣體之靜氣壓^^= 、ii4B、ii4c中的靜缝,熱傳導係數可於 約60瓦特/平方公尺-絕對溫度(w/m2_K)至㈣瓦特/平方公尺 溫度的廣大範圍中變化。舉例來說,如果空間114A、U4B、mc i1G密爾(約麵射),則氣壓1托耳㈣的 虱軋之,,、、傳冷係數大約為60瓦特/平方公尺-絕對溫度。然而, 由增加氧氣的壓力至約1〇〇托耳,熱傳導係數則增加至約為_ 瓦特/平方公尺_轉溫度。如此—來,如果需要選擇性的加敎 由快速且選擇性地降低空間114A、114B、114C中的氣壓厂對於曰 流動於管道K)4A、104B、104C中的熱傳液體而言,能夠迅速達 到其從熱料構件108移雜的能力,並轉所需局部之溫度。 m如圖2B所圖示,控制器130係可操作用以藉由選擇性的改變 間=二1:、U4C中來自氣體源頭140之熱傳氣體的 静軋壓,選擇性控制加熱/冷卻區域1〇2A、1〇2B、1〇2C中的冷卻 作用;或者選擇性以真空泵⑼抽空環狀空間mA、mB、 至一真空壓(例如:小於50毫托耳(mT〇rr)),以使熱傳液體與每一 加熱/冷部區域102A、1〇2B、1〇2c之間熱絕緣。同樣地,藉由改 變〒源湖到加熱元件U0A、U0B、U0C的電力,控制器⑽ 可操作來控,每一加熱/冷卻區域1〇2A、1〇2B、1〇2c中的加熱作 用。^例來說,電源160可為交流(AC)或直流(DC)電源。如此一 來,藉由從液體源頭17〇供應至管子11〇A、n〇B、11〇c的熱傳 液體(例如.冷凍的去離子水)、控制空間n4A、114B、U4C的氣 體壓力以及調節所需加熱個,在每—加熱/冷卻區域1〇2八、 102B、102C的局部溫度可被精確地控制。 在一實施例中,溫度控制模組100的熱傳導構件108可藉由 铸域銘合金且,置管子丨、麵、和加航件二由 120B^ 120C於鑄造物内形成。或者,鋁薄板可以機械化鑄一半, 如此管道104A、l〇4B、104C以一半的形式延伸於各薄板中。管 200924017 术认—/ U〇C能座落於其中一薄板,而另一薄板可以適 广的选封配置峨合或機械地安裝職薄板⑽姐度控麵組 100。底_板可被製造而包含凹處,用於接收在管道104A、 104B、104C之下的加熱器元件12〇A、12〇B、12〇c。另一個實施 例中熱傳導構件1 〇8可由像是燒結氮化銘(A1N)、碳化石夕(s 或其他熱傳導材料的陶瓷製成。 在另一個實施例中,圖2D所圖示,溫度控制模組1〇〇包含固 定於熱傳導構件1G8之表面上的獨立控制加熱II元件124A、 124B : 124C。舉例來說’加熱器元件124八、12犯、124C能藉由 銅焊或黏t來©定。合錄軸合㈣,如同前朗,能容納熱 應力並傳送熱,可用以固定加熱器元件124Α、124β、124c於熱 傳導構件108上。表面固定之加熱器元件mA、U4B、124c& 供將熱傳導構件108鑄為較薄的構件之能力,如此—來降低它的 整體熱質量。 圖2E至圖2F說明溫度控制模組之附加實施例,苴中兮 熱傳導構件108可被分割為包含超過一個管道丨⑽和/或超/過一^ 加熱元件120或表面固定加熱元件124的至少一加熱/冷卻區域。 在圖2E實施例中’每一加熱/冷卻區域1〇2八、1〇2B包含多數加埶 元件120和多數具有被環狀空間114圍繞之同心管11()的管道… 104。在圖2F實施例中’每一加熱/冷卻區域1〇2八、1〇2B包含多 數具有被環狀空間114圍繞之同心管no的管道1〇4,以及固定於 熱傳導構件108表面上的表面固定加熱器元件I%。 、如圖3A至圖3B所圖示,該熱傳導構件2〇8分割為多個加熱 /冷卻區域2G2A、2G2B、2G2C,每-區域包含侧的管道2〇4A、 204B、204C和加熱元件220A、220B、220C。在另外的實施例裡 (圖3未展示),熱傳導構件108能分割成超過三個同心區域,每一 區域包含超過一個管道204和/或超過一個加熱元件22〇。 圖3 A說明安裝於電漿處理構件28〇之温度控制模組2〇〇的三 維透視圖。圖3B說明安裝於電漿處理構件28〇之溫度控制模組 200的橫剖面中斷視圖。舉例來說,電漿處理構件28〇可為熱控制 200924017 薄板16或在電漿處理期間支撐晶圓32的基材支柱3〇。 跨越電梁處理構件28〇之溫度控制區域能夠敎義為同心區 j 282Α、282Β、282C。在電浆處理期間,每一區域282Α、282Β、 C能經歷不同溫度,負面影響電聚侧均勻性。如同圖认和 货3B圖示’溫度控制模組200的每一加熱/冷卻區域2〇2A、202B、 ’係與個別區域282A、282B、282C熱接觸。此結構提供獨 立控制區域282A、282B、282C溫度之能力。 r ο 在缺少任何機制以控制溫度的情況下,在電漿處理期間,介 1電聚處理構件280的區域282C(靠近中心)和區域2似(靠近邊 。緣)之間的溫度差距可達觸。c。為了監視溫度,溫度感應器284A、 84B、284C可座落於區域2〇2A、202B、202C(圖3B)。例如,嵌 ^構件280内之溫度感應器284人、2848、2840可以係熱電偶、 光識度感應器以及其類似物。為了達到跨越電漿處理構件 更均的度分布,區域282C可以藉由加熱/冷卻區域2〇2C選擇 性的冷卻和/或區域282A可以藉由加熱/冷卻區域2〇2A選擇性的 加熱,如下面所描述的。 開始,熱傳液體(例如.冷;東的去離子水)流動經過管子 、21GB、21GC。例如’像是大約2(TC以下之冷;東去離子水的 ”、、傳液體能以約每分鐘1加侖至約每分鐘3加侖之間的流動速率 流動經過管子21GA、21GB、21GC。就個別控制而言,管道2〇4A、 2—04B、2G4C可為三個個別的同心管道。該熱傳液體可以如同圖2C =例所圖示,能經由侧人讀出口,顯需要形態而流動進 出官子21〇A、210B、210C。該熱傳液體的冷卻效果可藉由使用不 同液體和/或不同液體混合物、改變液體流動速率、和/或改變引進 管子210A、210B、210C的液體溫度來加以控制。 為了使管子210A、210B、210C熱絕緣,在空間214A、214B、 214C中的熱傳氣體壓力係維持於真空壓(例如:小於%毫托耳 如此-來,跨越空間214A、214B、214C的熱傳導係數係小於約 50瓦特/平方公尺-絕對溫度。例如,空間214A、2Mb、21扣可 藉由真空泵250維持於真空壓力。 10 200924017 控制器230從溫度感應器284A、284B、284C接收輸入訊號。 如果區域282A、282B、282C任何一個的溫度在目標溫度之上, 控制器230則可操作以啟動氣體源頭24〇而選擇性地增加在相對 應空間214A、214B、214C中之熱傳氣體的靜氣壓。這個靜氣壓 的增大也增加對於流動於管子2i〇A、210B、210C中之熱傳液體 的熱傳導。當區域282A、282B、282C的溫度掉至目標溫度之下, 控制器230則使真空泵250選擇性地抽空相對應空間214A、 21jB、、214C至真空壓力(例如:小於5〇毫托耳),以限制對於流動 於管道204A、204B、204C中的冷卻液體的熱傳導。 舉例來說,如果溫度感應器284A檢測到電漿處理構件280 的區域282A溫度大於目標溫度,則溫度控制器23〇啟動加熱/冷 卻區域202A的冷卻機制。溫度控制器23〇使氣體源頭24〇增加相 對應的空間214A中的壓力’從真空壓力(例如:小於5〇毫托耳) 增加到一提高壓力(例如:約100托耳至約2〇〇托耳)。在一實施例 f ’空間2MA的氣體塵力藉由壓力感應器(例如:通用壓力控制 器)測量。較佳地’提高的氣體壓力使跨越相對應空間214A的熱 傳導係數增加至介於約500瓦特/平方公尺_絕對溫度和6〇〇瓦 =方公尺·絕對溫度之間。例如’在具有徑向尺寸約⑴密賴〇細 ^时)的空間’鋪氣體可以為壓力卿托耳的氦氣。藉由增加跨 導係數’流經過管子2iga的熱傳液體從區域 8=輸达熱1離開,如此—來則降低該溫度。當區域加a的溫 度掉至目標溫度之下’控制器230則使真空栗25〇抽空空 至真空壓力(例如:小於50毫托耳),而加熱器22〇A則被 供應熱篁,區域282A。因此,可達成迅速且精確的溫度控制。 如果區域旭八、28犯、282C的任何-個溫度落在目根 之下,控制器230則可操作以啟動電源260❿選擇性地 或多個加熱II元件22GA、22GB、22GC動力。在加細間, 器230使真空泵250 _性地抽空相對應空間214α、== 至真空壓力(例如:小於5〇毫托耳),以限制對於流 c 204Β、204C巾的熱傳液體之熱傳導。 4心道204Α、 200924017 舉例來說,如果溫度感應器284A檢測到電漿處理構件28〇 的,域282A溫度落於目標溫度之下,則控制器23〇啟動加熱/冷 卻區域202A的加熱機制。溫度控制器23〇使電源26〇提供電力予 加熱元件220A以加熱區域2〇2A。為了使從加熱元件22〇A產生 而,送到流動於管子21〇A之熱傳液體(而非加熱區域2〇2A)的 熱量最小化,控制器230也於約60秒以下,使真空栗250抽空空 間214A至真空壓力(例如:小於5〇毫托耳)。一旦區域2〇2人的= 度被加熱f目標溫度’控制器23〇則終止加熱元件22〇A的電力。 圖4 §兒明包含如上述整合溫度控制模組之蓮蓬頭式電極組件 :10和基材支柱430的橫剖面圖。蓮蓬頭式電極組件41〇包含一頂 j電極412、固疋於頂部電極412的一支持部分414以及一熱控制 /板4=:熱控制薄板416包含多數加熱元件32〇和具有同心管
Silt道3〇4,該同心管310用於冷束熱傳液體的流動。空間314 ,二者官子310並且適合包含熱傳氣體,該熱傳氣體可加壓以增 口,丨於熱控制薄板很和流經管子之液體間的熱傳導。熱控制薄 板416包含個別的加熱/冷卻區域302A、302B、302C。 阶圖4也說明出,基材支柱430包含加熱元件320、和具有同心 ^ t 的官道3〇4,該同心管子31 〇用於冷滚熱傳液體的流動、 ^適a包含-加壓熱傳氣體的空間”4。基材支柱也包含個 別的加熱/冷卻區域3〇2D、302E、302F。 例子 ^打測試以決定熱控制薄板416的加熱速率和冷卻速率,巍 =薄板416包含加熱元件32G*具有同心管子31〇的管道3〇4、, 熱速率和冷卻速率係為空間314中靜氣壓的函數。熱控制 =反416係藉由鑄铭合金與安排不鏽鋼管子31〇 熱控制薄板416係安裝於咖LAN⑧flexTM介電電裝侧 12 200924017 ^ _ ⑽ Fremont,Califomia 的 Lam 如從她
Co^atum公司所製造。測試期間 溫度介於約饥至抓的冷卻水,財於 i鐘動速率流人管子3i。。為了決二熱速 _二㈣板416猎由對加熱树32Q施加約6_瓦特,從約 2Grr氦氣之靜氣㈣介於⑽毫托$約= ΐϋ交熱測試的結果總結於圖5A。為了決定A卻速 率’熱控制薄板416初始加熱至16(rc,之後冷 7 f° 200 圖5A 4日::為/5 C, 2〇 C。冷卻測試的結果總結於圖5B。 r夠才乂八二向,約5〇愛托耳之氦氣靜氣壓’該熱控制薄板416 加熱速率):當氦氣靜纽增加至超過5G^耳,由^ ,生的熱經由空間3M中之氦氣傳導的緣故 執至、口 鐘。圖5B說明出,在約⑽托耳至·托耳 ίΐοί 控鑛板416能夠在約11分鐘時,從約赋冷卻至 約50C(亦即,約1〇0C/分鐘)。 m王 央本體實施例詳細地說明’顯而易見的,熟 改與變化、以及意義相等的使用。㈣内了做各式各樣的修 【圖式簡單說明】 圖1為電漿處理設備之示範實施例的橫剖面圖; 圖2Α至圖2F說明熱控制模組的多樣實施例; ^ ;圖3Α至圖3Β說明安裝於一電漿處理構件的熱控制模組實施 _圖4為包含熱控制模組之電漿處理贿之示範實施例的橫剖 圖5Α與圖5Β為熱控制模組的實驗加熱與冷卻速率實驗曲線 13 200924017 【主要元件符號說明】 ίο 連逢頭式電極組件 12 頂部電極 14 支持部分 16 熱控制薄板 18 上板 20 緊固物 22 孔隙 24 徑向延伸的氣體分布管道 26 軸向延伸通道 28 充氣部 30 基材支柱 32 基材 34 上支撐面 100溫度控制模組 108熱傳導構件 102A、102B、102C加熱/冷卻區域 104A > 104B > 104C 管道 110A、110B、110C 管子 112A、112B、112C 圓形外表面 114A、114B、114C 環狀空間 120A、120B、120C加熱器元件 106A、106B、106C 圓形内表面 122支撐結構 C 放大區域 130控制器 140氣體源頭 150真空泵 160 電源 170液體源頭 14 200924017 116A、116B、116C 入口 118A、118B、118C 出口 124A、124B、124C加熱器元件 200溫度控制模組 208熱傳導構件 280電漿處理構件 202A、202B、202C 加熱/冷卻區域 204A、204B、204C 管道 210A、210B、210C 管子 220A、220B、220C 加熱元件 282A、282B、282C 區域 284A、284B、284C 溫度感應器 214A、214B、214C 空間 230控制器 240 氣體源頭 250真空泵 260 電源 270液體源頭 410 蓮蓬頭式電極組件 412頂部電極 414支持部分 416熱控制薄板 430基材支柱 302A、302B、302C 加熱/冷卻區域 302D、302E、302F加熱/冷卻區域 304 管道 310 管子 314 空間 320加熱元件 15

Claims (1)

  1. 200924017 七、申請專利範圍: 1.=溫度控制模組,用於—半導體處理室 一熱傳導構件體; u3 一Ϊ道,於該構件體内,該管道具有-内表面; 二子,於該管道内,該管子具有—外表面; ’介㈣好的絲面與該管道的喊面之間,該空 間適於包^一加壓熱傳氣體的體積; 動經^5=頭’連接於該管子,並且可操作用以使熱傳液體流 一控制器;以及 用以回一真空果’連結於該空間,該氣體源頭可操作 摔作而增加在該空間中的靜氣壓,並且該真空粟可 钿作用以回應该控制器而抽空該空間。 ^如申請專纖圍第丨項之溫度控制模組,更包含: 適件,安裝於該溫度控制模組,和—溫度感應器, 口 Λ 理構制溫度並供應輸人城㈣控制器。 冷卻區i申!i利範圍第1項之溫度控麵組,其具有多重加熱/ 多,管道,於該構件體中; 一官子,同心地座落於每一管道中; ^加熱元件,_構傾難觸,其中每一加熱/冷卻區域 β以上的管道與一個以上的加熱元件;以及 元件。電源,適合對該控制器反應而選擇性地供應電力於該加熱 件係=^^溫度控制模組,其中該多數加熱元 件#^請專利範圍第3項之溫度控制模組,其中該多數加熱元 牛係黏S或銅焊於該熱傳導構件體之表面上。 f 請專利範圍第3項之溫度控制模組,其中該熱傳導構件 體為—®盤’ _、齡金、氣她或碳化雜成。 16 200924017 元件該管道和加熱 的内表面與i管^^組’更包含介於該管道 的環狀钟。〇道_對絲面之間轉實質上均勻大小 含管子項^^制模組,其中該支撐結構包 ίο.如申請或帶有突出部的環狀物。 係相對於該圓盤中心同圍心第地^^皿度控制模組,其中該加熱區域 專利範圍第3項之溫度控制模、組,其中該*門且右 ^約5 _至_密_的徑向尺寸。^ t間具有 件體係安组’其中該熱傳導構 氣體流動至該半導體處理室氣f個以上用於使-處理 件體條,該熱傳導構 多重區^ 種包電^處雜件獅度㈣妓,該賴翁構件具有 如申電軸理室包含 多重ί 度控制模=加熱處理構件的 使液體流經該溫度控制模植之管子. _ =該,處理構件之多重區域卜個 =一個以上區域之溫度係於目標溫度之上:土 :間中的-熱傳氣體之壓力增加至 $ ^至〉、-該 及區域之温度係於目標溫度之下時’減ί該熱傳氣^^個: 該空之溫度係於目標溫度之下時,在-個以上 門令,維持或減少該熱傳氣體之勤至—降低氣H固ϋ 17 200924017 該加熱元件,而當該—個以上區域之溫度提升 於目‘狐^之上時,終止施於一個以上該加熱元件之電力; 其中橫越該多重區域之溫度差距小於50〇C。 =申請專利範圍第14項之電祕理構件的溫度控制方 法,其中增加該熱傳氣體壓力將導致跨越該空 ,,特/平方公尺顺溫㈣_瓦妍; 度^間’減少該熱傳氣體壓力·致跨越該圓柱 ^ ===彻尺德度;峨純體為^ 、H申請專利範圍第14項之㈣處理構件的溫度控制方 法丄,、中5亥熱傳氣體為氧氣,該降低氣壓約為丄托耳以 提高氣壓為介於約100托耳與200托耳之間。 δΛ 法 !J·,申請專利範圍第14項之電漿處理構件的溫度控制方 其中該溫度差距係小於25°c或小於10DC。 法 18. 如申請專利範圍帛M項之電聚處理構件的溫度 其中該溫度差距係小於5。〇。 法 19. 如申請專利範圍® 14項之電毁處理構件的溫度控制方 更包含: i.. 將「處理氣體引人該電漿處理室中,該電漿處理室 裝於该溫度控制模組之蓮蓬頭式電極組件; 在該處理室介於該蓮4頭式__和 域中,從該處理氣體產生一電漿;以及 以該電漿處理該基材。 、2〇·如申請專利範圍第19項之電漿處理構件的溫度控制方 法’更包含: 在裝配於溫度控制模組之-基材支柱上,支揮該 該處理包含電漿蝕刻。 % ψ 八、圖式 18
TW097142009A 2007-10-31 2008-10-31 利用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模組 TWI508129B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US111207P 2007-10-31 2007-10-31

Publications (2)

Publication Number Publication Date
TW200924017A true TW200924017A (en) 2009-06-01
TWI508129B TWI508129B (zh) 2015-11-11

Family

ID=40583389

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097142009A TWI508129B (zh) 2007-10-31 2008-10-31 利用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模組

Country Status (6)

Country Link
US (2) US8083855B2 (zh)
JP (1) JP5417338B2 (zh)
KR (1) KR101508026B1 (zh)
CN (1) CN101842877B (zh)
TW (1) TWI508129B (zh)
WO (1) WO2009058376A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8474273B2 (en) 2009-10-29 2013-07-02 Air Products And Chemicals, Inc. Apparatus and method for providing a temperature-controlled gas
TWI621173B (zh) * 2013-01-24 2018-04-11 東京威力科創股份有限公司 基板處理裝置及載置台

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8608900B2 (en) * 2005-10-20 2013-12-17 B/E Aerospace, Inc. Plasma reactor with feed forward thermal control system using a thermal model for accommodating RF power changes or wafer temperature changes
TW200802553A (en) * 2006-05-17 2008-01-01 Eagle Ind Co Ltd Heating apparatus
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
JP2008244224A (ja) * 2007-03-28 2008-10-09 Sumitomo Precision Prod Co Ltd プラズマ処理装置
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
KR101508026B1 (ko) * 2007-10-31 2015-04-08 램 리써치 코포레이션 컴포넌트 바디와 액체 냉각제 사이의 열 전도도를 제어하기 위해 가스 압력을 이용하는 온도 제어 모듈
TWI501704B (zh) * 2008-02-08 2015-09-21 Lam Res Corp 於電漿處理系統中用以改變面積比之方法與裝置
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
JP4611409B2 (ja) * 2008-09-03 2011-01-12 晃俊 沖野 プラズマ温度制御装置
KR101083590B1 (ko) * 2008-09-11 2011-11-16 엘아이지에이디피 주식회사 플라즈마 처리장치
US9139910B2 (en) * 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
KR101083967B1 (ko) * 2010-07-16 2011-11-21 (주)위지트 샤워헤드
JP5576738B2 (ja) * 2010-07-30 2014-08-20 株式会社東芝 プラズマ処理装置及びプラズマ処理方法
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
US20120073502A1 (en) * 2010-09-27 2012-03-29 Veeco Instruments Inc. Heater with liquid heating element
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
CN103972132B (zh) * 2013-01-24 2017-07-11 东京毅力科创株式会社 基板处理装置和载置台
JP6276919B2 (ja) * 2013-02-01 2018-02-07 株式会社日立ハイテクノロジーズ プラズマ処理装置および試料台
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN103334092B (zh) * 2013-06-13 2015-04-22 中国电子科技集团公司第四十八研究所 一种用于金属有机化学气相沉积反应器的管道冷却式气体分布装置
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
TWI527626B (zh) * 2014-01-15 2016-04-01 財團法人工業技術研究院 噴灑頭裝置
US9698041B2 (en) * 2014-06-09 2017-07-04 Applied Materials, Inc. Substrate temperature control apparatus including optical fiber heating, substrate temperature control systems, electronic device processing systems, and methods
CN106471609B (zh) 2014-07-02 2019-10-15 应用材料公司 用于使用嵌入光纤光学器件及环氧树脂光学散射器的基板温度控制的装置、系统与方法
WO2016003630A1 (en) 2014-07-02 2016-01-07 Applied Materials, Inc Temperature control apparatus including groove-routed optical fiber heating, substrate temperature control systems, electronic device processing systems, and processing methods
KR101561875B1 (ko) * 2014-07-07 2015-10-30 (주)나노테크 온도제어 시스템이 적용된 히터 조립체
US9679749B2 (en) * 2014-09-26 2017-06-13 Lam Research Corporation Gas distribution device with actively cooled grid
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR101593833B1 (ko) * 2014-10-17 2016-02-12 세메스 주식회사 기판 히팅 유닛 및 이를 포함하는 다이 본딩 장치
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6606403B2 (ja) * 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
KR20180136302A (ko) * 2017-06-14 2018-12-24 삼성전자주식회사 플라즈마 공정 장치 및 이를 이용한 반도체 장치 제조 방법
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US11062886B2 (en) * 2017-11-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for controlling wafer uniformity
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN108216694A (zh) * 2017-12-27 2018-06-29 中国科学院国家空间科学中心 一种多设备热真空试验装置
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019212059A1 (ja) * 2018-05-02 2019-11-07 東京エレクトロン株式会社 上部電極およびプラズマ処理装置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10872747B2 (en) 2018-08-08 2020-12-22 Lam Research Corporation Controlling showerhead heating via resistive thermal measurements
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111383881B (zh) * 2018-12-27 2023-03-07 中微半导体设备(上海)股份有限公司 一种电容耦合等离子体处理器及其温度调节方法
US10770421B2 (en) * 2018-12-29 2020-09-08 Micron Technology, Inc. Bond chucks having individually-controllable regions, and associated systems and methods
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7254542B2 (ja) * 2019-02-01 2023-04-10 東京エレクトロン株式会社 載置台及び基板処理装置
SG11202112558TA (en) 2019-05-16 2021-12-30 Applied Materials Inc Methods and apparatus for minimizing substrate backside damage
KR102268559B1 (ko) * 2019-07-03 2021-06-22 세메스 주식회사 샤워 헤드 유닛 및 이를 구비하는 기판 처리 시스템
CN110354636A (zh) * 2019-07-05 2019-10-22 四川远方高新装备零部件股份有限公司 一种变压吸附分离柱及其电控系统
US11646213B2 (en) 2020-05-04 2023-05-09 Applied Materials, Inc. Multi-zone platen temperature control
CN113628949A (zh) * 2020-05-09 2021-11-09 长鑫存储技术有限公司 控温装置及其控制方法、等离子设备
KR20210144333A (ko) * 2020-05-22 2021-11-30 세메스 주식회사 정전 척과 그 제조 방법 및 기판 처리 장치
CN111607785A (zh) * 2020-05-26 2020-09-01 北京北方华创微电子装备有限公司 一种加热装置及半导体加工设备
JP2022016129A (ja) * 2020-07-10 2022-01-21 東京エレクトロン株式会社 載置台、基板を処理する装置、及び基板を温度調節する方法
US20220020612A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Systems and methods for faceplate temperature control
CN112014321A (zh) * 2020-09-24 2020-12-01 青岛迪诺瓦基因科技有限公司 流动性试剂样本用检测装置
JP2022070597A (ja) * 2020-10-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理装置
KR102429782B1 (ko) * 2020-10-29 2022-08-05 (주)광주금형 웨이퍼 가열 및 냉각용 플레이트
US11664193B2 (en) 2021-02-04 2023-05-30 Applied Materials, Inc. Temperature controlled/electrically biased wafer surround
US20220375751A1 (en) * 2021-05-24 2022-11-24 Applied Materials, Inc. Integrated epitaxy and preclean system
US20230070804A1 (en) * 2021-09-02 2023-03-09 Wonik Ips Co., Ltd. Substrate processing apparatus
US20230074149A1 (en) * 2021-09-09 2023-03-09 Applied Materials, Inc. Atomic layer deposition part coating chamber
CN115133144B (zh) * 2022-06-21 2023-03-28 浙江昀邦电池有限公司 一种碱性电池生产线及其工艺
US20240093367A1 (en) * 2022-09-16 2024-03-21 Applied Materials, Inc. Atomic layer deposition part coating chamber

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2440245A (en) * 1944-03-13 1948-04-27 Standard Telephones Cables Ltd Cooling of high-temperature bodies
DE1182362B (de) * 1958-07-12 1964-11-26 Siemens Ag Brennelement fuer vorzugsweise gas- bzw. metallgekuehlte Kernreaktoren
KR900013595A (ko) * 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
US5228513A (en) * 1991-05-03 1993-07-20 Indugas, Inc. Convective heat transfer by cascading jet impingement
US5248657A (en) 1991-05-13 1993-09-28 General Dynamics Corporation, Space Systems Division Method for forming internally helixed high temperature superconductor assembly
US5294778A (en) 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
US6544379B2 (en) * 1993-09-16 2003-04-08 Hitachi, Ltd. Method of holding substrate and substrate holding system
JPH09157846A (ja) 1995-12-01 1997-06-17 Teisan Kk 温度調節装置
US6073576A (en) * 1997-11-25 2000-06-13 Cvc Products, Inc. Substrate edge seal and clamp for low-pressure processing equipment
JP3477062B2 (ja) 1997-12-26 2003-12-10 京セラ株式会社 ウエハ加熱装置
US6147334A (en) * 1998-06-30 2000-11-14 Marchi Associates, Inc. Laminated paddle heater and brazing process
NL1010003C2 (nl) * 1998-09-03 2000-03-13 Asm Int Reactor voorzien van verwarming.
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6466426B1 (en) * 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
JP2002057207A (ja) 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびその製造方法ならびに半導体製造装置
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US7161121B1 (en) 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
JP3921234B2 (ja) * 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US7156951B1 (en) 2002-06-21 2007-01-02 Lam Research Corporation Multiple zone gas distribution apparatus for thermal control of semiconductor wafer
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8038796B2 (en) 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
KR100684902B1 (ko) * 2005-05-30 2007-02-20 삼성전자주식회사 온도 조절 장치 및 이를 가지는 기판 처리 장치, 그리고상기 장치의 온도를 제어하는 방법
JP3972944B2 (ja) * 2005-09-12 2007-09-05 住友電気工業株式会社 セラミックスヒータ及びそれを備えた半導体製造装置
US8034180B2 (en) * 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US8608900B2 (en) * 2005-10-20 2013-12-17 B/E Aerospace, Inc. Plasma reactor with feed forward thermal control system using a thermal model for accommodating RF power changes or wafer temperature changes
JP4906425B2 (ja) * 2006-07-26 2012-03-28 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
JP2009084686A (ja) * 2007-09-11 2009-04-23 Tokyo Electron Ltd 基板載置機構、基板処理装置、基板載置機構上への膜堆積抑制方法及び記憶媒体
KR101508026B1 (ko) * 2007-10-31 2015-04-08 램 리써치 코포레이션 컴포넌트 바디와 액체 냉각제 사이의 열 전도도를 제어하기 위해 가스 압력을 이용하는 온도 제어 모듈
US20100078151A1 (en) * 2008-09-30 2010-04-01 Osram Sylvania Inc. Ceramic heat pipe with porous ceramic wick
JP5198226B2 (ja) * 2008-11-20 2013-05-15 東京エレクトロン株式会社 基板載置台および基板処理装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8474273B2 (en) 2009-10-29 2013-07-02 Air Products And Chemicals, Inc. Apparatus and method for providing a temperature-controlled gas
TWI401115B (zh) * 2009-10-29 2013-07-11 Air Prod & Chem 用於提供一溫度受控制的氣體的設備及方法
TWI621173B (zh) * 2013-01-24 2018-04-11 東京威力科創股份有限公司 基板處理裝置及載置台

Also Published As

Publication number Publication date
TWI508129B (zh) 2015-11-11
JP2011502361A (ja) 2011-01-20
WO2009058376A2 (en) 2009-05-07
JP5417338B2 (ja) 2014-02-12
WO2009058376A3 (en) 2009-07-16
US8216486B2 (en) 2012-07-10
CN101842877B (zh) 2012-09-26
US20090111276A1 (en) 2009-04-30
US20120070914A1 (en) 2012-03-22
US8083855B2 (en) 2011-12-27
CN101842877A (zh) 2010-09-22
KR20100098509A (ko) 2010-09-07
KR101508026B1 (ko) 2015-04-08

Similar Documents

Publication Publication Date Title
TW200924017A (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
TWI269351B (en) Method and system for temperature control of a substrate
TWI257648B (en) Substrate holder having a fluid gap and method of fabricating the substrate holder
KR101476566B1 (ko) 다이나믹 온도 제어를 가지는 기판 지지대
TW200425240A (en) Processing system and method for thermally treating a substrate
KR100748372B1 (ko) 반도체 기판의 열 제어 방법 및 장치
US6949722B2 (en) Method and apparatus for active temperature control of susceptors
TWI495752B (zh) 具有可作為溫度控制用之流體區的工作支承
CN105225986B (zh) 用于化学蚀刻电介质材料的腔室设备
TW201105815A (en) CVD apparatus for improved film thickness non-uniformity and particle performance
TW200823610A (en) High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
TW201250831A (en) Gas distribution system for ceramic showerhead of plasma etch reactor
EP1611601A2 (en) Substrate support having temperature controlled surface
TW200902751A (en) Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
TW201018320A (en) In-chamber member temperature control method, in-chamber member, substrate mounting table and plasma processing apparatus including same
TW200826190A (en) Plasma processing apparatus
TW201013813A (en) High throughput thermal treatment system and method of operating
US6508062B2 (en) Thermal exchanger for a wafer chuck
JPH09129615A (ja) 処理装置および処理方法
TW200407946A (en) Forced convection assisted rapid thermal furnace
JP2000091249A (ja) 反応装置用加熱装置
JP2007096334A (ja) 基板処理装置及び半導体装置の製造方法及び加熱装置
TW202129800A (zh) 用於沉積腔室的氣體分佈陶瓷加熱器
JP4068175B2 (ja) プラズマ処理装置
US20060243385A1 (en) Device for producing electroconductive passages in a semiconductor wafer by means of thermomigration