TW200908212A - Method of manufacturing semiconductor device - Google Patents

Method of manufacturing semiconductor device Download PDF

Info

Publication number
TW200908212A
TW200908212A TW097116457A TW97116457A TW200908212A TW 200908212 A TW200908212 A TW 200908212A TW 097116457 A TW097116457 A TW 097116457A TW 97116457 A TW97116457 A TW 97116457A TW 200908212 A TW200908212 A TW 200908212A
Authority
TW
Taiwan
Prior art keywords
insulating film
film
forming
substrate
wafer
Prior art date
Application number
TW097116457A
Other languages
English (en)
Inventor
Kazutaka Yoshizawa
Toru Anezaki
Katsuaki Ookoshi
Teruki Morishita
Hajime Wada
Original Assignee
Fujitsu Microelectronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Microelectronics Co Ltd filed Critical Fujitsu Microelectronics Co Ltd
Publication of TW200908212A publication Critical patent/TW200908212A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/49Simultaneous manufacture of periphery and memory cells comprising different types of peripheral transistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Element Separation (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Non-Volatile Memory (AREA)

Description

200908212 九、發明說明: C 明/屬 】 此技術關於製造半導體裝置之方法,以及更特別地, 關於製造半導體裝置之方法,其中在該裝置之背表面上形 5 成用於抑止矽基板之翹曲的絕緣膜。 I:先前技術3 為了改良半導體積體電路裝置(1C)之效能,已減小 MOS電晶體之尺寸’其為半導體積體電路裝置之組成元 件,以及因此已改良積體化程度。伴隨積體化程度之改良, 10多層互連結構之層數亦已增加。為了增加自一晶圓獲得之 晶片的數目’傾向增加晶圓尺寸,以及目前,以12对晶圓 最為廣泛使用。 當層間夾置有至少一中間層絕緣膜的多層互連結構的 層形成在矽晶圓上時,由於中間層絕緣膜之拉伸應力的緣 15 故,在一些例子中,晶圓的背表面側可能凸起地勉曲。當 晶圓尺寸增加時,由晶圓之翹曲造成的影響亦增加。 根據日本早期公開專利公開號第2005-26404號,已揭 露於第一膜已形成在半導體晶圓的前表面側,及接著側量 其翹曲度之後’同時在半導體晶圓之前及背表面側形成第 20二膜,接著選擇性地去除設置在半導體晶圓之背表面側的 部分或整個第二膜,以及在此階段,根據翹曲度調整背表 面側上欲去除之第二膜的量。 藉由矽之局部氧化(LOCOS)形成的元件隔離區域包括 降低主動地區的鳥嘴部分,以及因此造成阻礙積體化程度 200908212 之改良的結果。因此,已廣泛使用淺溝槽隔離(sti)以替代 LOCOS。 利用m之元件隔離區域係如下述般形成。熱氧化石夕 基板表面以形成緩衝氧切膜,以及藉由化學汽相沈積 5 (CVD)進-步在該氧化㈣上形成氡切膜。接下來,敍刻 氮化石夕膜及氧化石夕膜以形成對應隔離區域的孔洞圖案。藉 由使用圖案化之氮化石夕膜作為光罩,姓刻石夕基板以形成元 件隔凹槽。藉由元件隔離凹槽,定義出主動區域。於例如 熱氧化膜之襯塾形成之後,無論任何有需要的時候,在元 ίο件隔離之表面上,藉由高密度電漿(HDp)CVD絲似技術 使元件隔離凹槽充填氧化石夕膜。藉由使用氮化石夕膜作為擒 止層,藉由化學機械拋光(CMP)去除氮化賴上的氧化石夕 膜。晶圓之平面係藉由CMP平坦化。藉由熱鱗酸去除暴露 之氮化石夕膜’以及藉由稀釋的氫氟酸去除緩衝氧化石夕膜, 15以致於暴露出主動區域的表面。 曰本早期公開專利公開號第2006-4989號已揭露,於 藉由熱氧化作用’在每一矽晶圓之前表面及背表面上形成 氧化矽膜之後,將許多經此加工所得的矽晶圓置於在垂直 爐中以及藉由批式處理,使用熱CVD,使氮化矽膜及氣 2〇化石夕膜形成在每一上述晶圓的前表面及後表面上,接著使 用稀釋的虱氟,藉由濕式触刻,去除每一晶圓之前表面 及後表面上的氧化石夕膜。在晶圓之前表面上的氮化石夕膜為 用於作為餘刻光罩的膜且亦作為CMP擋止層,以及在晶圓 之背表面上的氮化矽膜為用於抑止翹曲的膜。設置在晶圓 200908212 氣化石夕膜上的氧化石夕膜係作為保護膜,其容 酸去除晶圓之前表面上的氮切膜時,仍保 遠日曰®之背表面上的氮化矽膜。 於形成STI之後,將主動區 L ―飞之表面熱虱化以形成用 於離子植入之犧牲氧化碎膜 肤接者根據母一電晶體之特 '描進仃詩井形成、通_止層軸,及閾值調整的離 2入。於離子植入後,藉由餘刻去除犧牲氧化石夕膜。將 動區域的表面料減化,㈣錢魄切膜。當具 10 15 20 有不同驅動電壓的電晶體形成時,形成具有不同厚度的間 極氧化矽膜。 包括可抹除之非依電性半導體記憶體形式產品領域的 邏輯半導體裝置’例如㈣财程式邏輯元件(CPLD)及現 場可程式閘極陣mFPGA),及料之可程式元件已建立大 的市場。作為可抹除之非依紐半導體記憶體的典型例 子,可提及快閃記憶體晶胞,其中NM0S電晶體之絕緣間 極具有多層結構’包括彼此層合之通道絕緣膜、浮動問極、 閘極間的絕緣膜’及控制閘極。浮動閘極被充電及放電以 進行寫入/抹除,以及通道是藉由控制電極的電壓經由浮 動電極而控制;因此’增加操作電壓。 利用η-通道MOS電晶體(Nm〇s) _通道M〇曰 曰曰 體(PMOS)之由CMOS電路所形成的邏輯電路。在包括非依 電性記憶體之賴半導體裝置巾,除了快閃記憶體以外, 在-半導體晶片上整合有用於快閃記憶體控制之高電壓電 晶體、用於高效能邏輯電路之低電壓電晶體,及用於外部 7 200908212 電路之中電塵電晶體。因此,CMOS電路之驅動電屢包括 至y —種形式,亦即高電壓、中電壓及低電壓。 國際專利申請案公開號第WO 20〇4/093192號及日本 5 ^期公開專利公開號第聽-142362號已揭露製造u種電 晶體形式的方法,其包括一種快閃記憶體晶胞形式;8種
腦電晶體形式,亦即高及低姻,及低格難CMOS 電曰曰體,以及2種電晶體形式,亦即用於外部輸入之t電 壓CMOS電晶體。 在具有不同操作電塵之電晶體 10 声庠少夕机时> 形成具有不同 子又之夕數閘極絕緣膜形式。當形成 閑極氧化賴時,例如首先在整個主動^賴及涛 閘極氧化賴,以及在彳# W表面上形成厚 乂及在待形成薄閘極氧 中選擇性地去除厚閉極氧化石夕膜。接下來膜之母一區域 化石夕膜。當形成具有不同厚度之問極氧化物成薄閉極氧 15化物膜飯刻步驟及後續的間極氧化物膜膜時’閘極氧 進行二次。 /战步驟各自需要 快閃記憶體之閘極具有一結構 在具有〇N〇膜(氧化石夕膜/氮化石夕膜产,開極是形成 其等之間的浮動閘極上。浮動閑極為二切膜)夹置於 2〇極,一般是由多結晶矽所步成、η ^ 氣洋動狀態的閘 少坎,且藉由進 而圖案化。 丁〜次敍刻步驟 t屬^明内】 發明概要 根據本發明之實施例的一方面,— 種製造半導體裝置 200908212 之方法具有下述步驟:在一矽基板之背表面上形成第一絕 緣膜,將該矽基板退火以使該第一絕緣膜中的氧化物物種 脫氣,以及於將該矽基板退火之後,以批式方法氧化該矽 基板之表面。 5 圖式簡單說明 第1A圖、第1B圖、第1C圖及第1D圖各自為顯示 根據一比較例之製造半導體裝置之方法的主要步驟的半導 體晶圓之截面圖; 第1E圖、第1F圖及第1G圖各自為顯示根據此比較 10 例之製造半導體裝置之方法的主要步驟的半導體晶圓之截 面圖; 第2A圖為概要地顯示垂直爐之結構的截面圖; 第2B圖為概要地顯示以本發明實施例之發明人的考 量為基礎之氧化物物種離開氧化物層的狀態的截面圖; 15 第3A圖為例示說明第一預備實驗之垂直爐的截面圖; 第3B圖及第3C圖分別顯示自使用於第一預備實驗之 測試晶圓獲得之平均氧化物膜厚度及其標準偏差(σ )的圖 形; 第4Α圖及第4Β圖各自為例示說明第二預備實驗之垂 20 直爐的截面圖; 第4C圖及第4D圖分別顯示自使用於第二預備實驗之 測試晶圓獲得之平均氧化物膜厚度及其標準偏差(σ )的圖 形;以及 第5Α圖至第5Τ圖各自為顯示根據一實施例之製造半 200908212 導體裝置之方法的主要步驟的半導體晶圓之截面圖。 t實施方式】 較佳實施例之說明 在°兒明實施例之前,將參考第1A圖至第1G圖說明比 5 較例。 10 15 20 如第1A圖所示,於熱氧化石夕晶圓(基板)1之前及背表 面以形成緩衝氧化賴2a及2b之後,藉由化學汽相沈積 (CVD)H緩衝氧化砍膜上形成氮化⑦膜h及外,以及接 著進步亦藉由CVD,使用四乙氧基石夕燒(TE〇s)形成氧化 石夕膜4a及4b。在關子巾,字尾“a”表㈣表面側之組 成元件1及字尾“b”表示背表面側之组成元件。 ,如第1B圖所不,當旋轉石夕晶圓(時,將稀釋之氮氣 #商在碎0日圓1之前表面上’以致能去除前表面側之TEOS 氧夕膜4a。未去除石夕晶圓j之後表面的te〇s氧化石夕膜 4b且直保持以致能覆蓋氮化石夕膜儿。 /如第1C圖所示,於前表面側暴露之氣切膜3a的表 =係使用氧氣《,藉由灰化而氧化,以致於形成氧化物 、5。因為氮切膜3a之表面為疏水性,當在該氧化石夕膜 上形成光阻圖案時,若其側表面自底側向上推,則使光阻 =案勉曲。當氧化物膜5形成在氮化稍%之表面上時, 的變為親水^,以騎果,可降低光_案之側表面 曲。在具有氮切膜3a之氧化物膜5上形成光阻圖案 “阻圖案PR中之孔洞部分對應元件隔離凹槽。 如第1D圖所示’利用光阻圖案p r作為触刻光罩,藉 10 200908212 由各向異性化氧化物膜5、氮化稍%及氧化 石夕膜2a ’以致於容許保留緩衝氧切膜〜、氮切膜^, 及氧化物膜5之形成覆蓋主動區域的硬質光罩之部分。此 外,實際上,未钮刻掉且容許保留氧化石夕膜以的部分。接 下來’去除光阻圖案PR。 如第1E圖所示,使用氮化石夕膜3a作為蚀刻光罩,姓 刻石夕基板以形成元件隔離凹槽。於形成元件隔離凹槽之 後,在⑽至丄,露C之溫度範圍内的高溫下,例如i,曰 1〇〇 1〇 15 2〇 C ’乾式氧㈣表面。將暴露於元件隔 氧化’以致於形成氧一在此氧化氛圍下中= 暴路之#表面’亦經由緩衝氧切膜2a氧化氮切膜3a 之下的梦表面;因此,結果形成氧切膜6,以致能覆蓋主 動區域之角落部分。此乾式氧化係使用垂直爐,藉由批式 處理進行。 第2A圖為顯示用於此方法之垂直爐之結構的截面 圖垂直爐為商業上可購得之爐,商品名QUIXACE (註冊 商標),由日立國際電氣有限公司(Hitachi K〇kusai mectric
Inc.)製造。在此爐中,120個晶圓可以約8 mm之空間間 陽放置。氧化氛圍氣體係自氣體入口 IN引入,接著自垂直 壚之上部供應入反應室,以及自氣體出口 〇υτ釋放。 如第1F圖所示,例如氧化矽膜之絕緣膜7,係藉由高 密度電漿(HDP) CVD沈積至具有約350 nm至50〇11111範 園之厚度,以致能充填元件隔離凹槽。利用化學機械拋光 (CMP) ’藉由拋光去除絕緣膜7之過量部分。在此步驟 200908212 中’氮化矽膜3a是作為擋止層。 如第1G圖所示,藉由碟酸彿騰去除氮化碎膜%。因 為被氧化石夕膜4b覆蓋,未去除備置於背表面側的氮化石夕膜 3b。接下來,藉由稀釋之氫氟酸去除緩衝氧化矽膜h。 5 #由圓化氧化(_ding Gxidati〇n)形成之氧化石夕膜6 的厚度,如第_所示,具有異常分布。#具有較小尺寸 之W(20()mm)晶圓及具有最大直徑之12叶晶圓在約 _°C之溫度下藉由濕式氧化處理時,未觀察到此現象。 藉由圓化氧化(rounding oxidati〇n)造成厚度分布的非均 Π) -性表Μ動區域之角落部分未均_地形成。#未充分進 行圓化時’無法充分降低電場漠度,以及當圓化過分進行 時,具有平坦表面之有效主純域的面積減小。 本發月實%例之發明人已考慮到此氧化物膜之異常厚 度分布的原因。圓化氧化步驟係利用第2Α圖中顯示之垂直 15爐進行。在垂直爐中,可放置⑽個直徑12叶的晶圓。 第2B圖概要地顯示多數待藉由批式處理來加工的晶 圓TEOS氧化石夕膜4b係成在每—晶圓^之背表面上, 以及面向放置在其下方之晶圓1的前表面。在-些例子中, 氧化頻4可含有氧化物物種,例如水分。因此,可 U田在乾式氧化中進行加熱時,例如水分之氧化物物種 销由蒸發或類似作用離開TE〇s氧切膜4。在一晶圓的 背表面°又置於其下方之晶圓的前表面間的距離係小於8 、及日日圓的直徑約3〇 cm。因此,脫離晶圓之背表面 之例如水分的氧化物物種’在氧化物物種到達晶 圓之邊緣 12 200908212 部分的外側之前,可被捕捉於設置於其下方之晶圓的前表 面,且在-些例子中可進行氧化。因此,進行下述實驗。 在第3A圖及第3B圖中,顯示第一預備實驗及其結果。 如第3A圖所示,測試裸晶圓TW係放置在頂部τ、中 5心C、底部B、界於中心與頂部之間的中間部cT,以及界 於中心與底部之間的中間部CB;設置有藉由第1A圖至第 1E圖所示步驟形成之元件隔離凹槽的產品晶圓,係設置在 界於T與CT之間的區域Pw以及自CT至c的區域pw ; 以及上方設置有氧化物膜之仿真晶圓係設置在其他餘留區 1〇域中,包括T以上的區域以及b以下的區域。雖然氧化物 膜係形成在仿真晶圓上,而仿真晶圓係重覆使用,但未形 成TEOS氧化物膜及STI。
產品晶圓pw係存在於測試晶圓之上,在位置CT處, 以及仿真晶圓係存在於測試晶圓之上,在位置T、c、CB 15及B處。圓化乾式氧化係在所設置之晶圓上,在1〇〇〇至 1,200°C之溫度下進行。 第3B圖顯示藉由在晶圓表面上之熱氧化形成之氧化 矽膜的平均膜厚度,以及第3C圖顯示藉由在晶圓表面上之 熱氧化形成之氧化矽膜之厚度分布的標準偏差(σ )。垂直 20軸表示測試晶圓在垂直爐中的位置。在位置CT之值為緊接 著置於產品晶圓下方之測試晶圓的測量值,以及其他值為 緊接著置於仿真晶圓下方之測試晶圓的測量值。仿真晶圓 是存在於測試晶圓之上及上方,僅在位置c、CB及Β處。 因此,相信可能無法避免地發生上述測試晶圓之測量值變 13 200908212 化。緊接著置於產品晶圓下方之測試晶圓明顯具有大的平 句氧化物膜厚度,以及大的膜厚度之標準偏差。理由據信 是氧化物物種離開設置在晶圓之背表面上的麵氧化物 膜’以及接著非均-地氧化設置在下方之晶圓的表面。 5 為了避免離開TE〇s氧化矽膜之氧化物物種氧化鄰近 晶圓之前表面而形成較厚的氧化物層,可事先自TE〇S氧 化矽膜去除氧化物物種。 接下來’將描述第二、第三及第四預備實驗及其測量 、结果。意欲在僅僅保留石夕晶圓之背表面上的TE〇s氧化石夕 10膜及藉由第1A圖至第1E圖顯示之步驟在前表面側(未進 仃圓化氧化)形成STI的狀態下,藉由進行退火使氧化物 物種脫氣離開TEOS氧化矽膜。在第二預備實驗中,退火 係在900 C下進行60分鐘,在9〇〇°c下進行9〇分鐘,以及 在950°C下進行30分鐘。在第三預備實驗中,退火係在8〇〇 C下進行30分知,在850°c下進行30分鐘,以及在9〇〇°c 下進行30分鐘。 如第4A圖所示,在第二預備實驗中,裸測試晶圓 係放置在位置T、CT、C、CB及B,以及此外,設置在經 95〇c下退火30分鐘之產品晶圓之間的裸測試晶圓,設置 2〇在經900°C下退火90分鐘之產品晶圓之間的裸測試晶圓, 以及設置在經900X:下退火60分鐘之產品晶圓之間的裸測 5式晶圓,係分別放置在位於位置CT ' c及CB處之測試晶 圓上方。在其他位置處,放置仿真晶圓。 如第4B圖所示,在第三預備實驗中,裸測試晶圓Tw 14 200908212 係放置在位置T、CT、C、CB及B,以及此外,設置在經 900 C下退火30分鐘之產品晶圓之間的裸測試晶圓S3,設 置在、座850 c下退火3〇分鐘之產品晶圓之間的裸測試晶圓 S2以及叹置在經800。(:下退火30分鐘之產品晶圓之間的 5裸測6式曰曰圓Sl ’係分別放置在位於位置CT、C及CB處之 測试晶圓上方。在其他位置處,放置仿真晶圓。 再者,在第四預備實驗中,仿真晶圓係放置在放置裸 測έ式晶圓以外的位置。 在第一、第二及第四預備實驗中在⑼至1,2⑻。匸 1〇之溫度下進行圓化乾式氧化。 第4C圖顯示測試晶圓之平均膜厚度,以及第圖顯 丁則°式日曰圓之厚度分布的標準偏差(σ )。元件符號Eb Ε2、 ^及以分別表示第一、第二、第三及第四預備實驗之測 里值第圖及第3C圖中第一預備實驗的測量值亦為了 15 t日匕較之目的而顯示。異常氧化物膜分布係產生在位於產品 晶圓下方之測試晶圓上。 雖然各易地瞭解到,在不存在有TEDS氧化矽膜之第 四預備實驗中,未觀察到異常分布,在第二及第三預備實 驗之結果中未觀察到氧化物膜厚度之異常分布。使用於第 一預備貝驗之用於退火晶圓的退火溫度為9〇〇及95〇它,以 及未認知到氧化物膜厚度之異常分布。即使是在設置於藉 由在較低溫度或較短時間下進行退火處理加工的晶圓之尸曰; ^測試晶® Sh S2及S3 ’未觀察到氧化物膜厚度之異常 分布。 15 200908212 因此’據信藉由在800°C下進行退火30分鐘,氧化物 物種的脫氣能夠類似於在較高溫度進行較長時間般進行。 亦據彳s即使當退火時間減少至2〇分鐘,實質有效的脫氣可 在800。〇或更高的溫度下進行。因此,當TE〇s氧化矽膜在 5 8〇〇 C之溫度下退火20分鐘或更久時,可使氧化物物種脫 氣,以及在後續圓化氧化,可抑止氧化物膜厚度之異常分 布。雖然退火之上限無特別限制,由實用的觀點,退火間 及退火溫度可分別為90分鐘及950°C。 在下文中,參考第5A圖至第5T圖,將說明以實驗結 1〇 果為基礎的例子。 如第5Α圖所示,緩衝氧化矽膜2a及2b係形成在矽 基板1之前表面及背表面上,以及氮化矽膜3a及3b係藉 由化學汽相沈積(CVD),分別形成在緩衝氧化矽膜仏及沘 上,至具有80 nm至120 nm之厚度範圍。氧化矽膜如及 15牝係藉由CVD,在680。(:下使用四乙氧基矽烷(TE〇s)沈積 在氮化矽膜3a及3b上,至具有2〇〇11111至4〇〇11111之厚度 範圍。 如第5B圖所示,雖然矽晶圓丨被旋轉,稀釋之氫氟 酉文係滴在石mi 1之前表面上,以致於去除前表面側之 20 TEOS氧化石夕膜4a。石夕晶圓i之後表面側之TE〇s氧化石夕 膜4b未被去除’以及仍維持覆蓋氮化賴儿。 如第5C圖所不,暴露於前表面側之氮化矽膜%的表 面係使用氧電襞藉由灰化而氧化,以致於形成氧化物膜5。 雖然氮化石夕膜3a之表面為疏水性,當氧化物膜5形成在說 16 200908212 化矽骐3a之表面上時, 信可改良光阻圖案^ ^改變成親水性,以及結果,據 水性氮化矽膜時,因者用。當光阻圖案直接施用至疏 向捲曲,秋 表面張力的緣故,光阻之側表面傾 A而,因為勒益& m 抑制。 _ 的改良’據信上述傾向受到 如第50圖所示,藉 10 15 20 大氣壓乾燥退火2G分鐘或=圍下’在_。〇下進行 膜4b去_如水分’猎域氣自娜氧化石夕 側之氮切膜3a表面的^=種。附帶地’晶圓之前表面 TE〇S氧化㈣扑的脫氣之背表面側之 所述,連續處理可在相同處理室中進行4方法。如下文中 如第5E圖所示,濕式氧化係在7抑下在⑽氛 圍中’在晶固之前表面側的氮化石夕膜%之表面上進行,兑 ^形成約3 _之氧化物厚度的氧化作用,接著在乂氛 圍中,在_ C下進行退火2 Q分鐘或以上以致於自晶圓 之背表面歉TEGS氧化錢仆脫氣錄例如水分之氧化 物物種。意欲獲得㈣於藉由灰化獲得之氧化物膜厚度; 然而,藉由灰化SlN卿成之氧化物_厚度無法直接測 量。因此,於測量測試晶圓之氧含量(其中_膜係藉由 灰化氧化)錢’將測得之氧含量設定為目標值,以及調 整測試晶圓之氧含量(其中SiN膜係在n2/〇2氛圍中被濕 式氧化)。具有厚度3 nm之氧化物膜為在乂/〇2氛圍中, 使用測試晶圓,藉由濕式氧化形成之氧化物膜,以致能評 估氧化物的量。因此,具有厚度3 nm之氧化物膜未形成在 17 200908212
SiN膜上。可設定氧化條件,以致於氧化量相當於藉由灰 化獲得者。 於形成氮化石夕膜及TE〇s氧化石夕膜之後,當進行TE〇s 氧化石夕膜之脫氣之後,在後續之熱氧化步驟中 ,可抑止自 5 TEOS氧化賴脫氣去除氧化物物種以致於可防止膜厚度 刀布之均-性劣化。當在熱氧化步驟之前進行脫氣時,基 本上可防止膜厚度分布之均—性的劣化;然、而,於te〇s 氧化石夕膜沈積之後,當在石夕晶圓之前表面及背表面完全由 氮化石夕膜3a及3b覆蓋的狀態下進行脫氣時,因為石夕晶圓 1〇之石夕表面完全由氮切膜所覆蓋,實質上無氧化作用發 生以及因此,更可k賴地確保矽晶圓之特性。各種不同 已知步驟可用於作為脫氣之後進行的步驟。舉例而言,可 使用揭露於國際專财請案公開號第WQ2謝/Q93i92號及 日本早期公開專利公開號第細5_142362號之“實施實施 15例之最佳模式,,等攔中的步驟。 如第5F圖所示,光阻圖案pR1係形成在氮化矽膜如 4氮化《夕膜3a上設置有氧化物膜5。光阻圖案PR〗中 的孔洞部分對應元件隔離凹槽。 如第5G圖所示,氧化物膜5、氮化矽膜知,及氧化 石夕膜2a係使用光阻圖案pRH乍為钮刻光罩,藉由各向異性 钮刻來圖案化’以致於形成覆蓋主動區域之硬質光罩。接 下來,去除光阻圖案PR1。 如第5H圖所示,使用氮化矽膜3a作為蝕刻光罩,蝕 刻矽晶圓至具有25〇11„1至35〇11111之深度,以致於形成元 18 200908212 件凹槽。於元件隔離凹槽 高溫下乾式氧切表面。氧^,在I刪至⑽代之 面,以致於形成氧化物膜π牛隔離凹射暴露之石夕表 露之矽卞 、在此軋化氛圍中,不僅氧化暴 路<矽表面,亦經由緩衝Μ 平 方友衝虱化矽膜2a氧化氮化矽膜3a下 万之矽表面,错此生長石夕腺 部分。 暝6,以致能覆蓋主動區域的角落 如第51圖所示 如氧化矽膜之絕緣膘 於充填元件隔離凹槽 去除過量的絕緣臈7 為止層。 10 ,藉由高密度電漿(HDP)CVD,沈積例 7至厚度約350 nm至500 nm,以致 利用化學機械拋光(CMP),藉由拖光 部分。在此步驟中,氮化矽膜3a係作 $ 51 ®所7F ’藉㈣酸丨弗騰去除氮化#膜3a。因 為被氧化石夕膜4b覆蓋’未去除備置於背表面側的氮化砂膜 %。接下來’藉由稀釋之氫氟酸去除_氧化石夕膜2a。、 犧牲氧化物膜8係形成在暴露之⑦表面上,至約10 nm 之厚度’接著在快閃記憶體晶胞區域及高電壓電晶體區域 :進行離子植人,藉此形成快閃記憶體之p_井,以及高電 ㈣晶體之Ρ·井及η·井’其各自具有所欲的雜質分布。接 下來’藉由氫氟酸水溶液去除犧牲氧化物膜8。 2〇 在此圖式中,自左方顯示快閃記憶體區域、高電壓電 曰曰體區域、中電壓電晶體區域及低電壓電晶體區域;然 而’尚電壓電晶體區域、中電壓電晶體區域,以及低電堡 電晶體各自包括至少一 NMOS區域及PMOS區域,以及 在上述區域中,導電性彼此相反。 19 200908212 如第5K圖所示,形成新的通道氧化物膜9至具有約 10 nm之厚度,以及在包括通道氧化物膜9之整個表面 上,沈積摻雜磷之非晶形矽膜l〇a至具有約70 nm至100 nm的厚度。非晶形矽膜10b亦沈積在矽晶圓1之背表面 5 上。 如第5L圖所示,快閃記憶體區域係被光阻圖案PR2 覆蓋,以及藉由蝕刻去除快閃記憶體區域以外之區域中的 經摻雜之非晶形矽膜10a。 如第5M圖所示,ΟΝΟ膜11係沈積在矽晶圓之前表 10 面側的整個表面上,以及接下來,在中電壓電晶體區域及 低電壓電晶體區域中,進行用於井形成及閾值控制之離子 植入。此外,於快閃記憶體區域被光阻光罩PR3覆蓋之後, 藉由乾式蝕刻,使用不同的氣體,去除其他區域中的ΟΝΟ 膜11,以及蝕刻是在通道氧化物膜9之部分停止。 15 藉由使用與上述相同的光罩,藉由氫氟酸水溶液,去 除餘留在快閃記憶體區域以外之區域上的氧化矽膜,例如 通道氧化物膜9。此外,亦去除矽晶圓之背表面側的經摻雜 之非晶形碎膜1 〇b。 如第5N圖所示,具有約15 nm之用於高電壓電晶體 20 之氧化矽膜12,係藉由熱氧化作用形成在暴露之主動區域 表面中。因為氮化矽膜抑制氧化作用,所以ΟΝΟ膜11是 難以改變的。使用光阻圖案,藉由氫氟酸水溶液去除中電 壓及低電壓電晶體區域中之氧化矽膜12。藉由熱氧化作 用,於暴露之主動區域中形成使用於中電壓電晶體,具有 20 200908212 約7nm厚度之氧化矽骐13。氧化矽膜12之厚度亦稍微增 加。使用光阻圖案,藉由氫氟酸水溶液去除低電壓電晶體 區域中之氧化矽膜13。藉由熱氧化作用,於暴露之主動區 域中形成使用於低電壓電晶體,具有約15 nm厚度之氧化 5矽膜14。其他氧化矽膜之厚度亦稍微增加。 如第50圖所示,具有約100 nm厚度之多晶矽膜15 係藉由CVD沈積在整個矽晶圓上。多晶矽膜15&係沈積在 前表面側,以及此外,多晶矽膜15b亦沈積在背表面側。 如第5P圖所示,選擇性地去除矽晶圓之背表面側的多 10晶石夕膜15b (以及TEOS氧化矽膜4b)。接下來,依序蝕刻 快閃記憶體區域中的多晶矽膜15a、〇N〇膜u,及經摻雜 之非晶形矽膜l〇a,以致於形成堆疊之閘極結構。在下述圖 式中,顯不僅去除背表面侧之多晶矽膜15b的例子;然而, TEOS氧化石夕膜4b亦可與多晶秒膜15b 一起去除。 15 如第5Q圖所示,形成覆蓋快閃記憶體區域及具有邏 輯區域中之閘極形狀的光阻圖案pR4,以及蝕刻多晶矽膜 15a,以致於圖案化閘極。 如第5R圖所示,使用光阻圖案,藉由離子植入形成 所名人的延伸區域Ex及口袋區域pk。此外,因為與井具有 相同的導電性形式,在下文中,在圖式中未顯示口袋區域 Pk° 如第5S圖所*,於側壁間隔件形成之後,在每一區域 進行所欲的離子植入,以致於形成源極區域 S及汲極區域 D。沈積C。膜或其類似物,以及接著藉由熱處理加工,以 21 200908212 致使碎化物層18形成在閘極、源極及汲極上。 如第5T圖所示,於形成每一電晶體後,舉例而言, 藉由在矽基板上沈積,層合具有約30 nm厚度之氮化矽膜 及具有約700 nm厚度之磷矽酸鹽玻璃(PSG),接著藉由 5 CMP或類似技術進行平坦化,以致於形成具有約33〇 厚度之第一中間層絕緣膜21。具有接觸孔形狀之孔洞的光 阻圖案係形成在第—中間層絕緣膜21上以及藉由將其蝕 刻,形成接觸孔。藉由濺鍍或類似技術,形成用於形成障 壁金屬之具有約10 nm厚度的Ti膜及具有約10 nm厚度的 10 TlN膜,以及接著藉由CVD沈積具有約200 nm厚度之覆 蓋w膜。藉由CMP或類似方法去除第一中間層絕緣膜21 上之過量金屬層,以致於形成導電性接觸插塞22。 接下來,形成多層互連結構。在多層互連結構,下側 層具有較高的佈線密度及受到寄生電容的影響較大。上佈 15線層具有較低的佈線密度,且寄生電容的影響亦降低。因 此’個別佈線層的要求並不相同。舉例而言,具有約3〇⑽ 厚度之SiC膜、具有約130nm厚度之si〇c膜以及具有 約100nm厚度之TE0S氧化石夕膜是層合在具有導電録屬 插塞22之第-中間層絕緣膜21上,以致於形成第二中間 20層絕緣膜23。於形成穿過第二中間層絕緣膜23之溝槽之 後’形成充填於該溝槽中的障壁金屬層及銅層,以及藉由 CMP去除過量的部分,以致於形成第—銅佈線層^。纽 步驟中,絕緣膜之厚度,尤其是最上層TEOS氧化石夕膜的 厚度,為第-銅佈線層形成後所獲得的厚度,且非藉由沈 22 200908212 積所獲得的厚度。描述於下文中的絕緣膜之厚度與上文中 所述相同。 舉例而言,具有約60 nm厚度之SiC膜、具有約450 nm 厚度之SiOC膜,以及具有約100 nm厚度之TEOS氧化矽 5 膜是層合在第二中間層絕緣膜23上,以覆蓋第一銅佈線層 24,以致於形成第三中間層絕緣膜25。如上文中所描述者, 厚度表示最終保留之絕緣膜的厚度。溝槽及導通孔係藉由 已知的雙鑲嵌方法形成於第三中間層絕緣膜25中,以及形 成障壁金屬層及銅層,以致於形成第二銅佈線層26。藉由 10 與上述相同之結構及相同之方法,形成第四至第六中間層 絕緣膜27、29及31,以及第三至第五銅佈線層28、30及 32 ° 在包埋有有第五銅佈線層32之第六中間層絕緣膜31 上,例如層合具有約70 nm厚度之SiC膜及具有約900 nm 15 厚度之SiOC膜,以致於形成第七中間層絕緣膜33。藉由 雙鑲嵌方法,將第六銅佈線層34包埋在第七中間層絕緣膜 33中。藉由與上述相同之結構及相同之方法,形成第八中 間層絕緣膜35及第七銅佈線層36。 在包埋有第七銅佈線層36之第八中間層絕緣膜35 20 中,例如層合具有約70 nm厚度之SiC膜及具有約1,500 nm 厚度之SiOC膜,以致於形成第九中間層絕緣膜37。藉由 雙鑲嵌方法,將第八銅佈線層38包埋在第九中間層絕緣膜 37中。藉由與上述相同之結構及相同之方法,形成第十中 間層絕緣膜39及第九銅佈線層40。 23 200908212 在包埋有第九銅佈線層40之第十中間層絕緣膜39 中,例如層合具有約70 nm厚度之SiC膜及具有約8〇〇nm 厚度之SiOC膜,以致於形成第十一中間層絕緣膜41。藉 由触刻在第十一中間層絕緣膜41中形成接觸孔,於接觸孔 5中充填障壁金屬及W層,以及接著藉由CMP去除過量部 分,以致於形成導電性插塞42。 在包埋有導電性插塞42之第十一中間層絕緣膜41 中,形成具有約1,2〇〇 nm厚度之已知A1佈線44。層合具 有約1,400 nm厚度之sio膜及具有約5〇〇 nm厚度之 10膜以覆蓋A丨佈線,以致於形成絕緣膜45。接下來,在A1 佈線上形成穿透絕緣層45之接觸墊窗。如同已描述者,形 成多層互連結構。 雖然已參考實例描述本發明之實施例,然而,本發明 之實施例不限於此。熟習是項技術者應瞭解到,在未偏離 15本發明實施例之精神及範圍之下,可進行例如,許多修飾、 改良、置換、組合及其類似物。 【圖式•簡导_ 明】 第1八圖、第1B圖、第1C圖及第1D圖各自為顯示 根據-比較例之製造半導體裝置之方法的主要步驟的半導 20 體晶圓之截面圖; 第1E圖、第1F圖及第1G圖各自為顯示根據此比較 例之製造半導體褒置之方法的主要步驟的半導體晶圓之截 面圖; 第2A圖為概要地顯不垂直爐之結構的截面圖; 24 200908212 第2B圖為概要地顯示以本發明實施例之發明人的考 量為基礎之氧化物物種離開氧化物層的狀態的截面圖; 第3A圖為例示說明第一預備實驗之垂直爐的截面圖; 第3B圖及第3C圖分別顯示自使用於第一預備實驗之 5 測試晶圓獲得之平均氧化物膜厚度及其標準偏差(σ)的圖 形; 第4Α圖及第4Β圖各自為例示說明第二預備實驗之垂 直爐的截面圖; 第4C圖及第4D圖分別顯示自使用於第二預備實驗之 10 測試晶圓獲得之平均氧化物膜厚度及其標準偏差(σ )的圖 形;以及 第5Α圖至第5Τ圖各自為顯示根據一實施例之製造半 導體裝置之方法的主要步驟的半導體晶圓之截面圖。 【主要元件符號說明】 1 石夕晶圓(基板) 6 氧化矽膜 2a 緩衝氧化矽膜 7 絕緣膜 2b 緩衝氧化碎膜 8 犧牲氧化物膜 3a 氮化石夕膜 9 通道氧化物膜 3b 氮化矽膜 10a 非晶形矽膜 4 氧化矽膜 10b 非晶形矽膜 4a 氧化碎膜 11 ΟΝΟ膜 4b 氧化矽膜 12 氧化矽膜 5 氧化物膜 13 氧化矽膜 25 200908212 14 氧化矽膜 37 第九中間層絕緣膜 15 多晶矽膜 38 第八銅佈線層 15a 多晶矽膜 39 第十中間層絕緣膜 15b 多晶砍膜 40 第九銅佈線層 18 ί夕化物層 41 第十一中間層絕緣膜 21 第一中間層絕緣膜 42 導電性插塞 22 導電性接觸插塞 44 A1佈線 23 第二中間層絕緣膜 45 絕緣膜(層) 24 第一銅佈線層 Β 底部 25 第三中間層絕緣膜 C 中心 26 第二銅佈線層 CB 中間部 27 第四中間層絕緣膜 CT 中間部 28 第三銅佈線層 D >及極區域 29 第五中間層絕緣膜 Ex 延伸區域 30 第四銅佈線層 IN 入口 31 第六中間層絕緣膜 OUT 出口 32 第五銅佈線層 Pk 口袋區域 33 第七中間層絕緣膜 PR 光阻圖案 34 第六銅佈線層 PR1 光阻圖案 35 第八中間層絕緣膜 PR2 光阻圖案 36 第七銅佈線層 PR3 光阻光罩 26 200908212 PR4光阻圖案 PW區域 S 源極區域 51 裸測試晶圓 52 裸測試晶圓 S3 裸測試晶圓 T 頂部 TW測試裸晶圓 27

Claims (1)

  1. 200908212 十、申請專利範圍: 1. 一種製造半導體裝置之方法,包含: 在多數矽基板之背表面上形成第一絕緣膜; 將該多數矽基板退火以使該第一絕緣膜中的氧化 5 物物種脫氣;以及 於將該矽基板退火之後,以批式方法氧化該多數 石夕基板之表面。 2. 如申請專利範圍第1項之方法,其中該形成第一絕緣 膜之步驟係利用四乙氧基矽烷,藉由化學汽相沈積進 10 行,以形成作為該第一絕緣膜之氧化矽膜。 3. 如申請專利範圍第1項之方法,其中該在多數矽基板 之背表面上形成第一絕緣膜之步驟包括:在該矽基板 之前表面及背表面上之該第二絕緣膜上形成該第一絕 緣膜,以及除該矽基板表面上之該第一絕緣膜,以及 15 暴露該第二絕緣膜; 該方法進一步包含在該多數矽基板之背表面上形 成第一絕緣膜之前,在該矽基板之前表面及背表面上 形成第二絕緣膜,該第二絕緣膜之蝕刻特性不同於該 第一絕緣膜。 20 4. 如申請專利範圍第3項之方法,其中該第二絕緣膜為 氮化矽膜。 5. 如申請專利範圍第3項之方法,其中該以批式方法氧 化多數矽基板之表面的步驟,係在使用該第二絕緣膜 作為光罩去除該矽基板之表面以形成淺溝槽隔離之凹 28 200908212 槽及形成充填該淺溝槽隔離之凹槽之淺溝槽隔離膜之 間進行,以及圓化主動區域之角落部分; 該方法進一步包含於去除該矽基板之表面上之該 第一絕緣膜上之後,在該矽基板之表面之該第二絕緣 5 膜中形成淺溝槽隔離之孔洞; 使用該第二絕緣膜作為光罩,去除該矽基板之表 面,以形成界定多數主動區域之該淺溝槽隔離之凹槽; 形成充填該淺溝槽隔離之該凹槽之淺溝槽隔離 膜;以及 10 使用該第二絕緣膜作為擋止層,化學機械拋光該 矽基板之表面上的該淺溝槽隔離膜。 6. 如申請專利範圍第5項之方法,進一步包含使用該第 二絕緣膜作為擋止層,於化學機械拋光該矽基板之表 面上之該淺溝槽隔離膜之後,去除該矽基板之表面上 15 的該第二絕緣膜。 7. 如申請專利範圍第5項之方法,進一步包含在該多數 主動區域之部分上形成快閃記憶體晶胞。 8. 如申請專利範圍第7項之方法,其中在該矽基板之表 面上之第二絕緣膜中形成淺溝槽隔離之孔洞的步驟進 20 一步包括:在表面被氧化之該第二絕緣層上形成光阻 層,以及將光阻層顯影,形成具有形狀類似淺溝槽隔 離之凹槽的孔洞之光阻層,使用該光阻層作為光罩藉 由蝕刻形成該第二絕緣層,以及去除該光阻層; 該方法進一步包含:於去除該矽基板之表面上之 29 200908212 該第一絕緣膜及暴露該第二膜之後,使該第二絕緣膜 之表面親水化。 9. 如申請專利範圍第8項之方法,其中使該第二絕緣膜 之表面親水化之步驟使用氧電漿氧化該氮化矽膜之表 5 面,以及其中使該矽基板退火之步驟為在氮氛圍中之 乾燥退火製程。 10. 如申請專利範圍第3項之方法,其中去除該矽基板之 表面上之該第一絕緣膜,暴露作為該第二絕緣膜之該 氮化矽膜,以及使該第二絕緣膜之表面親水化的步 10 驟,係藉由在氮及氧氛圍中,藉由濕式氧化以氧化該 氮化矽膜之表面,以及其中使該矽基板退火之步驟係 在相同處理室中,在氮氛圍中乾燥退火。 11. 如申請專利範圍第1項之方法,其中使該多數矽基板 退火以使該第一絕緣膜中之該氧化物物種脫氣的步驟 15 係在800°C以上進行。 12. 如申請專利範圍第1項之方法,其中在使該矽基板退 火之後,以批式方法氧化該多數矽基板之表面的步 驟,係在1000°C以上以乾燥氧化方式進行。 13. 如申請專利範圍第5項之方法,其中在使該矽基板退 20 火之後,以批式方法氧化該多數矽基板之表面的步 驟,為在該主動區域之角落的圓化氧化,該角落具有 4 nm至3 0 nm之曲率半徑。 14. 如申請專利範圍第7項之方法,進一步包含在除了形成 快閃記憶體晶胞區域之主動區域以外的主動區域的部 25 分,形成金屬氧化物半導體。 30
TW097116457A 2007-05-31 2008-05-05 Method of manufacturing semiconductor device TW200908212A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007145330A JP2008300643A (ja) 2007-05-31 2007-05-31 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
TW200908212A true TW200908212A (en) 2009-02-16

Family

ID=40088769

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097116457A TW200908212A (en) 2007-05-31 2008-05-05 Method of manufacturing semiconductor device

Country Status (5)

Country Link
US (1) US20080299739A1 (zh)
JP (1) JP2008300643A (zh)
KR (1) KR20080106014A (zh)
CN (1) CN101315890A (zh)
TW (1) TW200908212A (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101026489B1 (ko) 2009-08-10 2011-04-01 주식회사 하이닉스반도체 반도체 패키지 및 이의 제조 방법
US8384214B2 (en) * 2009-10-13 2013-02-26 United Microelectronics Corp. Semiconductor structure, pad structure and protection structure
KR101175148B1 (ko) * 2010-10-14 2012-08-20 주식회사 유진테크 3차원 구조의 메모리 소자를 제조하는 방법 및 장치
JP5857573B2 (ja) * 2011-09-16 2016-02-10 富士通株式会社 化合物半導体装置の製造方法
US8932945B2 (en) * 2012-07-09 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer alignment system and method
US9012324B2 (en) * 2012-08-24 2015-04-21 United Microelectronics Corp. Through silicon via process
JP2014063895A (ja) * 2012-09-21 2014-04-10 Asahi Kasei Electronics Co Ltd 半導体装置の製造方法
JP5955246B2 (ja) * 2013-02-26 2016-07-20 三菱電機株式会社 半導体装置の製造方法
US9129956B2 (en) * 2013-12-11 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple-layer pins in memory MUX1 layout
US20150243597A1 (en) * 2014-02-25 2015-08-27 Inotera Memories, Inc. Semiconductor device capable of suppressing warping
EP3649670A4 (en) * 2017-07-06 2021-07-14 Applied Materials, Inc. PROCESSES FOR FORMING A STACK OF DEPOSITED MULTIPLE SEMICONDUCTOR LAYERS
US11495456B2 (en) * 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
CN111354636B (zh) * 2020-03-10 2022-09-02 上海华力微电子有限公司 存储器的形成方法
CN113451122A (zh) * 2020-03-27 2021-09-28 江苏鲁汶仪器有限公司 一种在iii-v衬底上沉积高粘附性薄膜的方法
CN113540222B (zh) * 2021-07-13 2022-10-21 弘大芯源(深圳)半导体有限公司 一种高压双极晶体管

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6323139B1 (en) * 1995-12-04 2001-11-27 Micron Technology, Inc. Semiconductor processing methods of forming photoresist over silicon nitride materials
US6221791B1 (en) * 1999-06-02 2001-04-24 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for oxidizing silicon substrates
JP3702162B2 (ja) * 2000-09-25 2005-10-05 三洋電機株式会社 半導体装置の製造方法
JP5121102B2 (ja) * 2001-07-11 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
EP1612861B1 (en) * 2003-04-10 2018-10-03 Fujitsu Semiconductor Limited Semiconductor device and its manufacturing method
JP4683865B2 (ja) * 2004-06-15 2011-05-18 富士通セミコンダクター株式会社 半導体基板の製造方法

Also Published As

Publication number Publication date
KR20080106014A (ko) 2008-12-04
JP2008300643A (ja) 2008-12-11
US20080299739A1 (en) 2008-12-04
CN101315890A (zh) 2008-12-03

Similar Documents

Publication Publication Date Title
TW200908212A (en) Method of manufacturing semiconductor device
JP4814498B2 (ja) 半導体基板の製造方法
US6906398B2 (en) Semiconductor chip with gate dielectrics for high-performance and low-leakage applications
KR100732647B1 (ko) 반도체장치 및 그 제조 방법
US8173515B2 (en) Method for manufacturing semiconductor device
JP2004253767A (ja) デュアルゲート構造およびデュアルゲート構造を有する集積回路の製造方法
US20070020828A1 (en) Method for manufacturing semiconductor apparatus and the semiconductor apparatus
US8530330B2 (en) Method for manufacturing a semiconductor device capable of preventing the decrease of the width of an active region
US8350311B2 (en) Semiconductor device
JP5137378B2 (ja) 半導体装置及びその製造方法
CN103545186A (zh) 一种制造金属栅半导体器件的方法
JP4029283B2 (ja) 半導体素子の製造方法
JP6510678B2 (ja) 予備パターン化された底部電極及び酸化障壁上に強誘電体ランダムアクセスメモリを製造する方法
JP2006339398A (ja) 半導体装置の製造方法
JP3725465B2 (ja) 半導体装置及びその製造方法
JP2012089772A (ja) 半導体装置の製造方法
JP4902362B2 (ja) 半導体装置の製造方法
JP2002033478A (ja) 半導体素子のゲート電極形成方法
JP2008103613A (ja) 半導体装置及びその製造方法
JP2012028562A (ja) 半導体装置の製造方法
US8587085B2 (en) Semiconductor device with trench isolation having a diffusion preventing film and manufacturing method thereof
KR100617621B1 (ko) 반도체 집적회로장치의 제조방법
KR20090074536A (ko) 비휘발성 메모리 소자의 제조방법
JP4036341B2 (ja) 半導体装置及びその製造方法
WO2005117120A1 (ja) 半導体装置及びその製造方法