SG170697A1 - Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly - Google Patents

Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly

Info

Publication number
SG170697A1
SG170697A1 SG201007631-3A SG2010076313A SG170697A1 SG 170697 A1 SG170697 A1 SG 170697A1 SG 2010076313 A SG2010076313 A SG 2010076313A SG 170697 A1 SG170697 A1 SG 170697A1
Authority
SG
Singapore
Prior art keywords
inner electrode
clamped
edge
mechanically fastened
showerhead
Prior art date
Application number
SG201007631-3A
Other languages
English (en)
Inventor
Anthony De La Llera
Pratik Mankidy
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of SG170697A1 publication Critical patent/SG170697A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/02Sealings between relatively-stationary surfaces
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/02Sealings between relatively-stationary surfaces
    • F16J15/06Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces
    • F16J15/064Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces the packing combining the sealing function with other functions
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/02Sealings between relatively-stationary surfaces
    • F16J15/06Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces
    • F16J15/08Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces with exclusively metal packing
    • F16J15/0818Flat gaskets
    • F16J15/0825Flat gaskets laminated
    • F16J15/0831Flat gaskets laminated with mounting aids
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/02Sealings between relatively-stationary surfaces
    • F16J15/06Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces
    • F16J15/08Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces with exclusively metal packing
    • F16J15/0887Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces with exclusively metal packing the sealing effect being obtained by elastic deformation of the packing
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/02Sealings between relatively-stationary surfaces
    • F16J15/06Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces
    • F16J15/10Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces with non-metallic packing
    • F16J15/12Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces with non-metallic packing with metal reinforcement or covering
    • F16J15/121Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces with non-metallic packing with metal reinforcement or covering with metal reinforcement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/02Sealings between relatively-stationary surfaces
    • F16J15/06Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces
    • F16J15/08Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces with exclusively metal packing
    • F16J15/0818Flat gaskets
    • F16J2015/0856Flat gaskets with a non-metallic coating or strip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
SG201007631-3A 2009-10-13 2010-10-13 Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly SG170697A1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US25117709P 2009-10-13 2009-10-13

Publications (1)

Publication Number Publication Date
SG170697A1 true SG170697A1 (en) 2011-05-30

Family

ID=43794874

Family Applications (1)

Application Number Title Priority Date Filing Date
SG201007631-3A SG170697A1 (en) 2009-10-13 2010-10-13 Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly

Country Status (8)

Country Link
US (2) US9245716B2 (fr)
JP (2) JP3160877U (fr)
KR (1) KR200464037Y1 (fr)
CN (1) CN201781676U (fr)
DE (1) DE202010014257U1 (fr)
FR (1) FR2951317B1 (fr)
SG (1) SG170697A1 (fr)
TW (1) TWM396561U (fr)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
TW201317372A (zh) * 2011-10-31 2013-05-01 Hon Hai Prec Ind Co Ltd 鍍膜修正板及鍍膜裝置
CN102601873B (zh) * 2012-03-28 2014-09-03 长春光华微电子设备工程中心有限公司 精密机械用绝缘垫板及其制作方法
US20130284092A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Faceplate having regions of differing emissivity
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9267205B1 (en) * 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
KR101482630B1 (ko) * 2012-11-07 2015-01-14 삼성디스플레이 주식회사 기상 증착 장치
US9610591B2 (en) 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
SG11201701461TA (en) * 2014-09-08 2017-03-30 Applied Materials Inc Honeycomb multi-zone gas distribution plate
US9847599B2 (en) * 2014-10-17 2017-12-19 Raytheon Company Longitudinal, tolerance-mitigating cam-lock fastening system
KR102398067B1 (ko) * 2014-11-05 2022-05-13 삼성디스플레이 주식회사 정전 척
US20180230597A1 (en) * 2017-02-14 2018-08-16 Applied Materials, Inc. Method and apparatus of remote plasmas flowable cvd chamber
US20180323039A1 (en) * 2017-05-05 2018-11-08 Applied Materials, Inc. Active far edge plasma tunability
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
TW202338150A (zh) * 2018-07-27 2023-10-01 美商應用材料股份有限公司 用於熱沉積的氣體分配板
KR102198929B1 (ko) * 2019-02-28 2021-01-06 세메스 주식회사 기판 처리 장치의 가스 공급 유닛
KR102168313B1 (ko) * 2019-09-09 2020-10-21 김홍석 플라즈마챔버 전극 체결부재
US20210238746A1 (en) * 2020-02-03 2021-08-05 Applied Materials, Inc. Showerhead assembly
CN115867999A (zh) * 2020-06-06 2023-03-28 朗姆研究公司 用于半导体处理的可移除喷头面板
CN116194616A (zh) * 2020-09-25 2023-05-30 朗姆研究公司 高温工艺用轴向冷却金属喷头
WO2023027199A1 (fr) * 2021-08-23 2023-03-02 피에스케이 주식회사 Dispositif de traitement de substrat et procédé de traitement de substrat
JP7203262B1 (ja) 2022-03-31 2023-01-12 Sppテクノロジーズ株式会社 プラズマ処理装置

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6017577Y2 (ja) 1979-12-10 1985-05-29 黒田精工株式会社 油圧弁
JPS5687667A (en) 1979-12-20 1981-07-16 Toshiba Corp Reactive ion etching method
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JPH0766180A (ja) 1993-08-30 1995-03-10 Sony Corp プラズマ処理装置およびそのメンテナンス方法
US5538262A (en) * 1993-11-08 1996-07-23 Matsumura; Keizo Ultra-high vacuum gasket and vacuum apparatus using the same
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5590975A (en) 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
US6086710A (en) 1995-04-07 2000-07-11 Seiko Epson Corporation Surface treatment apparatus
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JPH0913172A (ja) 1995-06-28 1997-01-14 Ulvac Japan Ltd 真空装置用昇降機構
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
JPH09245994A (ja) 1996-03-08 1997-09-19 Nagano Keiki Seisakusho Ltd プラズマ利用の加工装置用電極およびその電極の製造方法
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
WO1998053484A1 (fr) 1997-05-20 1998-11-26 Tokyo Electron Limited Appareil de traitement
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6550126B1 (en) 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6558506B1 (en) 1999-02-01 2003-05-06 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
JP2001150267A (ja) * 1999-11-26 2001-06-05 Howa Mach Ltd 旋回クランプシリンダ
USD441348S1 (en) 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
KR100638917B1 (ko) 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3775987B2 (ja) 2000-12-26 2006-05-17 松下電器産業株式会社 プラズマ処理装置
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US7211170B2 (en) 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7479304B2 (en) 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20030185729A1 (en) 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6936135B2 (en) 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
WO2003100817A1 (fr) 2002-05-23 2003-12-04 Lam Research Corporation Electrode en plusieurs parties pour reacteur de traitement au plasma de semi-conducteurs, et methode de remplacement d'une partie d'electrode en plusieurs parties
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP4753276B2 (ja) 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
CN100495655C (zh) * 2003-09-03 2009-06-03 东京毅力科创株式会社 气体处理装置和散热方法
WO2005052414A2 (fr) 2003-11-25 2005-06-09 Garlock Sealing Technologies, Llc Zone centrale de joint d'etancheite ondulee presentant une surface profilee
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4403919B2 (ja) 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US7431788B2 (en) 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7875824B2 (en) 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US20080087641A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
WO2009042137A2 (fr) 2007-09-25 2009-04-02 Lam Research Corporation Modules de régulation de température pour ensembles d'électrodes en pomme de douche pour appareils de traitement au plasma
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5265700B2 (ja) 2007-12-19 2013-08-14 ラム リサーチ コーポレーション プラズマ処理装置用の複合シャワーヘッド電極組立体
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
JP5224855B2 (ja) 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
WO2009114175A2 (fr) 2008-03-14 2009-09-17 Lam Research Corporation Pince d’électrode à verrouillage à came
US8187413B2 (en) 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
CN102550130A (zh) 2009-08-31 2012-07-04 朗姆研究公司 用于执行等离子体约束的多外围环装置
KR102240849B1 (ko) 2009-08-31 2021-04-14 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode

Also Published As

Publication number Publication date
JP3161173U (ja) 2010-07-22
US10262834B2 (en) 2019-04-16
DE202010014257U1 (de) 2011-03-17
CN201781676U (zh) 2011-03-30
FR2951317B1 (fr) 2023-11-24
US20110083809A1 (en) 2011-04-14
KR200464037Y1 (ko) 2012-12-07
US9245716B2 (en) 2016-01-26
KR20110003902U (ko) 2011-04-20
US20160086776A1 (en) 2016-03-24
TWM396561U (en) 2011-01-11
JP3160877U (ja) 2010-07-15
FR2951317A1 (fr) 2011-04-15

Similar Documents

Publication Publication Date Title
SG170697A1 (en) Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
WO2012030382A3 (fr) Electrode en forme de pomme d'arrosoir
WO2011142957A3 (fr) Source inductive de plasma avec pomme de douche métallique utilisant un concentrateur de champ b
WO2010005541A3 (fr) Ensemble électrode en pomme de douche clampée
WO2007038514A3 (fr) Dispositif d'elimination d'un ensemble de sous-produits d'un bord de substrat et procedes associes
WO2010080420A3 (fr) Procédés et appareil de double confinement et ultra-haute pression dans une chambre à plasma à écartement réglable
SG144872A1 (en) Process kit for substrate processing chamber
SG169960A1 (en) Clamped monolithic showerhead electrode
TW200943364A (en) Plasma processing apparatus
TW200636855A (en) Vertical batch processing apparatus
MY159992A (en) Clamped monolithic showerhead electrode
WO2009117612A3 (fr) Ensemble élément chauffant en forme de couvercle blindé
TW200730645A (en) Process kit and target for substrate processing chamber
WO2011026127A3 (fr) Agencement de confinement de plasma local et de commande de pression, et procédés associés
WO2012118955A3 (fr) Dispositif et procédé de dépôt par couche atomique
TW200741877A (en) Thermal processing system with across-flow liner
TW200739697A (en) Methods and apparatus for in-situ substrate processing
TW200732836A (en) Method for expelling gas positioned between a substrate and a mold
TW200802549A (en) Vertical plasma processing apparatus for semiconductor process
TW200644119A (en) Gas shower plate for plasma processing apparatus
WO2008156031A1 (fr) Appareil de traitement sous vide
DE502006004463D1 (de) Vorrichtung und verfahren zur kontinuierlichen gasphasenabscheidung unter atmosphärendruck und deren verwendung
TW200744145A (en) Cluster processing apparatus
BR112015002657A8 (pt) aparelho e método para o revestimento por plasma de um substrato, em particular de uma placa de prensa
WO2013019425A3 (fr) Outils et revêtements de pulvérisation-décapage