KR980006021A - 정전척용 실드 - Google Patents

정전척용 실드 Download PDF

Info

Publication number
KR980006021A
KR980006021A KR1019970024435A KR19970024435A KR980006021A KR 980006021 A KR980006021 A KR 980006021A KR 1019970024435 A KR1019970024435 A KR 1019970024435A KR 19970024435 A KR19970024435 A KR 19970024435A KR 980006021 A KR980006021 A KR 980006021A
Authority
KR
South Korea
Prior art keywords
shield
wafer
shield element
top surface
electrically insulating
Prior art date
Application number
KR1019970024435A
Other languages
English (en)
Other versions
KR100294064B1 (ko
Inventor
켄트 로즈만
브라이언 루이
프레드 씨 레데커
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR980006021A publication Critical patent/KR980006021A/ko
Application granted granted Critical
Publication of KR100294064B1 publication Critical patent/KR100294064B1/ko

Links

Classifications

    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Jigs For Machine Tools (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명에서의 정전 척(electrostatic chuck)(4)용 실드(5)는 척을 둘러싸는 제 1의 요소(60)와 제 1 실드 요소 위에서 지지되는 제2의 실드 요소(62)를 포함한다. 제2의 실드 요소는 웨이퍼를 둘러싸는 상면을 가지고 있고 공정실(processing chamber) 내에서 가스 디포지션에 노출된다. 실드를 두 개의 요소로 분리시킴으로써 제 2 요소의 열량에 대한 노출된 표면의 비율을 증가시키며 거기에서의 디포지션을 감소시킨다. 또한, 실드의 청정율 및 디포지션 제거 율은 전형적으로 그 온도에 관한 함수이다(즉, 공정 중에 실드가 뜨거울수록, 더 빨리 청정된다). 그러므로, 제 2 실드 요소의 청정율은 증가될 것이며, 공정의 처리 율이 증가될 것이다.

Description

정전척용 실드
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
도1은 본 발명의 원리에 따른 정전 척 및 절연 고리를 포함하는 고밀도 플라즈마 반응실에 대한 개략도.

Claims (25)

  1. 웨이퍼 처리 장치에 있어서, 웨이퍼를 받기 위한 실질상 평면을 갖는 전기 절연 요소; 상기 실질상 평면에 대향하는 상기 절연 요소의 표면에 인접한 전기 전도성 요소; 상기 전기절연 요소를 적어도 부분 적을 둘러싸는 제 1 실드 요소; 그리고 상기 제 1 실드 요소 위에서 지지되는 별도의 제 2 실드 요소를 포함하는 것을 특징으로 하는 장치.
  2. 제 1항에 있어서, 상기 제 1 및 제 2 실드 요소들은 실질적으로 서로 열적으로 격리되어 있는 것을 특징으로 하는 장치.
  3. 제 2항에 있어서, 상기 제 1 및 제 2 실드 요소들은 서로 고체 대 고체 (solid-to-solid) 접촉을 형성하며, 상기 실드 요소들은 그들 사이의 내부 틈새 공간을 정의하며, 상기 틈새 공간은 저압 환경에서 상기 실드 요소들 사이의 실질적 열 장벽을 형성하는 것을 특징으로 하는 장치.
  4. 제 1항에 있어서, 상기 제 2 실드 요소가 상기 제 1 실드 요소보다 상당히 작은 열량을 갖는 것을 특징으로 하는 장치.
  5. 제 2항에 있어서, 상기 제 2 실드 요소가 표면적을 가지는 노출된 상면을 가지고, 상기 제 2 실드 요소의 열량에 대한 상기 노출 상면의 비율이 약 1 내지 1.6㎠K/Joule인 것을 특징으로 하는 장치.
  6. 제 1항에 있어서, 상기 제 1 및 제 2 실드 요소들이 전기 절연 물질을 포함하는 것을 특징으로 하는 장치.
  7. 제 1항에 있어서, 상기 제 1 및 제 2 실드 요소들이 상기 절연 밀 전도성 요소들을 충분히 둘러싸는 환형 고리들인 것을 특징으로 하는 장치.
  8. 제 1항에 있어서, 상기 제 2 실드 요소가 노출된 상면 및 다수의 프로젝션들을 정의하고, 상기 프로젝션들은 프로젝션들 사이에서 반도체 웨이퍼를 잡기 위하여 상기 노출된 상면으로부터 뻗어 나온 것을 특징으로 하는 장치.
  9. 제 8항에 있어서, 상기 프로젝션들이 상기 제 2 실드 요소의 상기 노출된 상면에 관하여 원주방향으로 배치된 확장된 탭(tab)들인 것을 특징으로 하는 장치.
  10. 제 1항에 있어서, 상기 제 2 실드 요소의 상기 상면이 상기 웨이퍼의 두께보다 작은 거리로 상기 절연 요소의 상기 평면위로 확장하는 것을 특징으로 하는 장치.
  11. 제 1항에 있어서, 상기 제 2 실드 요소가 상기 절연 요소의 상기 평면 위로 약0내지 0.5㎜확장하는 것을 특징으로 하는 장치.
  12. 제 1항에 있어서, 웨이퍼를 상기 절연 요소에 부착시키기 위하여 상기웨이퍼와 상기 전도성 요소 사이에 정전력을 발생시키는 수단을 포함하는 것을 특징으로 하는 장치.
  13. 플라즈마 반응실에서 공정 중에 웨이퍼를 부착시키기 위한 정전 척에 있어서, 금속성 상면을 갖는 받침; 상기 받침의 상면에 형성되었으며 웨이퍼를 받기 위한 상면을 가진 유전 물질 층; 그리고 상기 받침을 둘러싸며 서로 충분히 열적으로 격리된 제 1 및 제 2 부분을 포함하는 실드 요소를 포함하는 것을 특징으로 하는 척.
  14. 제 13항에 있어서, 상기 실드 요소들의 상기 제 1 및 제 2 부분들이 서로 고체 대 고체 접촉을 형성하고, 상기 부분들이 그들 사이의 틈새 공간을 정의하며, 상기 틈새 공간이 저압 환경에서 상기 부분들 사이의 실질적 열 장벽을 형성하는 것을 특징으로 하는 척.
  15. 제13항에 있어서, 상기 부분들 중의 하나가 상기 부분들 중의 다른 것보다 충분히 작은 열량을 갖는 것을 특징으로 하는 척.
  16. 집적회로 디바이스를 제조하는 장치에 있어서, 공정실을 수용하는 봉합체; 상기 공정실 내에 놓여진 정전 척으로서, 상기 정전 척이 웨이퍼를 받기 위한 실질적 평면을 가지는 전기 절연 층; 그리고 상기 평면에 대향하는 상기 전기 절연층의 벽면에 대향하는 전극을 포함하는 상기 정전척; 상기 정전 척을 충분히 둘러싸는 제 1 전기 절연 실드; 상기 제 1 실드 요소위에서 지지되며 상기 전기 절연층을 둘러싸도록 배치된 제 2 전기 절연 실드 요소로서, 상기 제 1 및 제 2 실드 요소들이 서로 충분히 열적으로 격리되도록 된 제 2 전기 절연 실드 요소; 그리고 상기 웨이퍼를 상기 절연층 위에 부착시키기 위한 쿨로의 힘을 발생시키기 위하여 상기 웨이퍼와 상기 전극 사이에 전압을 인가하기 위한 상기 전극에 결합된 전압원을 포함하는 것을 특징으로 하는 장치.
  17. 집적회로 디바이스를 제조하기 위한 방법에 있어서, 공정실 내의 전극 위에 놓인 전기 절연 요소의 실질적 평면에 인접하게 반도체 웨이퍼를 위치시키는 단게; 그리고 상기 전극을 추운히 둘러싸며, 제 1 및 제 2의 분리된 부분들을 포함하는 실드 요소로 전극을 실드하는 단계를 포함하는 것을 특징으로 하는 방법.
  18. 제 17항에 있어서, 상기 실드 요소의 상기 제 2 부분의 노출된 면으로의 가스 디포지션을 억제시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  19. 제 18 항에 있어서, 상기 억제 단게가 상기 실드 요소의 상기 제 1의 부분이 상기 실드 요소의 상기 제 2의 부분으로부터 충분히 열적으로 격리되는 것 및 상기 제 2 부분의 노출면에 대한 가스 디포지션을 감소시키기 위하여 공정 중에 상기 제 2 부분을 가열하는 것에 의하여 이루어지는 것을 특징으로 하는 방법.
  20. 제 19 항에 있어서, 상기 열적 격리 단계가 상기 제 1 부분을 상기 제 2 부분에 서로간에 고체 대 고체 접촉을 이루게 위치시키는 것 및 상기 제 1 및 제 2 부분들 사이의 틈새 간격이 열적 장벽을 형성하도록 상기 공정실 내에서 저압을 유지하는 것에 의하여 이루어지는 것을 특징으로 하는 방법.
  21. 제 19항에 있어서, 상기 제 2 절연 요소에 대한 열량에 대한 노출 면적의 비율이 약 1 내지 1.6㎠K/J가 되도록 선택하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  22. 제 18 항에 있어서, 상기 억제 단계가 상기 노출 부분을 상기 웨이퍼의 상면 밑에 위치시키는 것에 의하여 이루어지는 것을 특지으로 하는 방법.
  23. 제 17 항에 있어서, 상기 실드 요소의 상기 제 1 부분으로부터 뻗어 나온 다수의 프로젝션들을 가지고 상기 전기적 절연 요소의 평면 위에 상기 웨이퍼를 부착시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  24. 제 17 항에 있어서, 상기 웨이퍼를 상기 절연 요소에 부착시키기 위하여 상기 웨이퍼와 상기 절연 요소 사이에 정전력을 발생시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  25. 제 24 항에 있어서, 상기 정전력을 발생시키는 단계가 상기 전지 전도성 요소와 상기 웨이퍼 사이에 전압을 인가하는 단계; 그리고 상기 웨이퍼와 상기 절연 요소 사이에 쿨롱의 인력을 발생시키는 단계를 포함하는 것을 특징으로 하는 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019970024435A 1996-06-14 1997-06-13 정전척용 실드 KR100294064B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/663,886 US5748434A (en) 1996-06-14 1996-06-14 Shield for an electrostatic chuck
US08/663,886 1996-06-14

Publications (2)

Publication Number Publication Date
KR980006021A true KR980006021A (ko) 1998-03-30
KR100294064B1 KR100294064B1 (ko) 2001-08-07

Family

ID=24663637

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970024435A KR100294064B1 (ko) 1996-06-14 1997-06-13 정전척용 실드

Country Status (3)

Country Link
US (1) US5748434A (ko)
JP (1) JP4007640B2 (ko)
KR (1) KR100294064B1 (ko)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6189483B1 (en) * 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
JP3265238B2 (ja) * 1997-08-01 2002-03-11 東京エレクトロン株式会社 液膜形成装置及びその方法
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6034863A (en) * 1997-11-12 2000-03-07 Applied Materials, Inc. Apparatus for retaining a workpiece in a process chamber within a semiconductor wafer processing system
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
US6219219B1 (en) * 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6432256B1 (en) * 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
US6169652B1 (en) * 1999-03-12 2001-01-02 Euv, L.L.C. Electrostatically screened, voltage-controlled electrostatic chuck
US6375549B1 (en) * 2000-03-17 2002-04-23 Motorola, Inc. Polishing head for wafer, and method for polishing
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
WO2002041370A2 (en) * 2000-11-16 2002-05-23 Mattson Technology, Inc. Apparatuses and methods for resistively heating a thermal processing system
US6554954B2 (en) * 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
JP2003100713A (ja) * 2001-09-26 2003-04-04 Kawasaki Microelectronics Kk プラズマ電極用カバー
AU2002366921A1 (en) * 2001-12-13 2003-07-09 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
US7040525B2 (en) * 2002-03-20 2006-05-09 Lg.Philips Lcd Co., Ltd. Stage structure in bonding machine and method for controlling the same
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
US7033443B2 (en) * 2003-03-28 2006-04-25 Axcelis Technologies, Inc. Gas-cooled clamp for RTP
US6944006B2 (en) * 2003-04-03 2005-09-13 Applied Materials, Inc. Guard for electrostatic chuck
US7713380B2 (en) * 2004-01-27 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for backside polymer reduction in dry-etch process
US20060151116A1 (en) * 2005-01-12 2006-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Focus rings, apparatus in chamber, contact hole and method of forming contact hole
US20060238954A1 (en) * 2005-04-21 2006-10-26 Applied Materials, Inc., A Delaware Corporation Electrostatic chuck for track thermal plates
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US8607731B2 (en) * 2008-06-23 2013-12-17 Applied Materials, Inc. Cathode with inner and outer electrodes at different heights
US20100055298A1 (en) * 2008-08-28 2010-03-04 Applied Materials, Inc. Process kit shields and methods of use thereof
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
WO2010132640A2 (en) 2009-05-15 2010-11-18 Entegris, Inc. Electrostatic chuck with polymer protrusions
JP5601794B2 (ja) * 2009-05-29 2014-10-08 株式会社東芝 プラズマエッチング装置
US9299539B2 (en) * 2009-08-21 2016-03-29 Lam Research Corporation Method and apparatus for measuring wafer bias potential
US8613288B2 (en) * 2009-12-18 2013-12-24 Lam Research Ag High temperature chuck and method of using same
JP5606063B2 (ja) * 2009-12-28 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
WO2011094230A2 (en) * 2010-01-27 2011-08-04 Applied Materials, Inc. Life enhancement of ring assembly in semiconductor manufacturing chambers
KR101731136B1 (ko) 2010-05-28 2017-04-27 엔테그리스, 아이엔씨. 표면저항이 높은 정전 척
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
CN113579992A (zh) 2014-10-17 2021-11-02 应用材料公司 使用加成制造工艺的具复合材料特性的cmp衬垫建构
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
JP2016127185A (ja) * 2015-01-06 2016-07-11 東京エレクトロン株式会社 シールドリングおよび基板載置台
US10950477B2 (en) * 2015-08-07 2021-03-16 Applied Materials, Inc. Ceramic heater and esc with enhanced wafer edge performance
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) * 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
CN116110846A (zh) * 2016-01-26 2023-05-12 应用材料公司 晶片边缘环升降解决方案
JP6524536B2 (ja) * 2016-11-09 2019-06-05 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
KR20210042171A (ko) 2018-09-04 2021-04-16 어플라이드 머티어리얼스, 인코포레이티드 진보한 폴리싱 패드들을 위한 제형들

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE7710800L (sv) * 1976-10-05 1978-04-06 Western Electric Co Forfarande for astadkommande av ett epitaxiellt skikt pa ett substrat
JPS6131636U (ja) * 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
ES2043970T3 (es) * 1988-07-15 1994-01-01 Balzers Hochvakuum Dispositivo de fijacion para un disco, asi como su aplicacion.
US5099571A (en) * 1990-09-07 1992-03-31 International Business Machines Corporation Method for fabricating a split-ring electrostatic chuck
US5411624A (en) * 1991-07-23 1995-05-02 Tokyo Electron Limited Magnetron plasma processing apparatus
KR100297358B1 (ko) * 1991-07-23 2001-11-30 히가시 데쓰로 플라즈마에칭장치
US5431737A (en) * 1992-02-04 1995-07-11 Genus, Inc. Interchangeable CVD chuck surface
EP0598362A1 (en) * 1992-11-12 1994-05-25 Applied Materials, Inc. Clamping ring and method and apparatus for using same
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5384008A (en) * 1993-06-18 1995-01-24 Applied Materials, Inc. Process and apparatus for full wafer deposition
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5463525A (en) * 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
US5452510A (en) * 1993-12-20 1995-09-26 International Business Machines Corporation Method of making an electrostatic chuck with oxide insulator
JP3257741B2 (ja) * 1994-03-03 2002-02-18 東京エレクトロン株式会社 プラズマエッチング装置及び方法

Also Published As

Publication number Publication date
JP4007640B2 (ja) 2007-11-14
JPH1064989A (ja) 1998-03-06
KR100294064B1 (ko) 2001-08-07
US5748434A (en) 1998-05-05

Similar Documents

Publication Publication Date Title
KR980006021A (ko) 정전척용 실드
KR101810065B1 (ko) 대면적 전극 상에 억지 끼워맞춤된 세라믹 절연체
TW498420B (en) Hot plate and manufacture method of semiconductor device
US6072685A (en) Electrostatic chuck having an electrical connector with housing
US6094334A (en) Polymer chuck with heater and method of manufacture
US4184188A (en) Substrate clamping technique in IC fabrication processes
US20230019718A1 (en) Substrate support pedestal
TW475912B (en) Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
JPH05109876A (ja) 温度サイクル動作型セラミツク静電式チヤツク
JPH1174099A (ja) 自己クリーニングフォーカスリング
KR20010089376A (ko) 전력을 반도체 웨이퍼 프로세싱 시스템내의 제품을 통하여연결하기 위한 장치
KR950001879A (ko) 진공처리장치
JPH09512418A (ja) ハイブリッド静電チャック
CN107535018B (zh) 热隔绝电接触探针及受热台板总成
KR0155567B1 (ko) 플라즈마 장치 및 고주파가 인가되는 부재에 있어서의 전기신호의 추출방법 및 전기신호 추출시스템
JP2018516366A5 (ja) 熱絶縁電気接点プローブ及び加熱プラテンアセンブリ
KR20090050484A (ko) 플라스마 증착 장치 및 방법
JP2000028298A (ja) 半導体点火装置
JP7213080B2 (ja) 載置台
KR100962212B1 (ko) 정전척형 히터, 이의 제조 방법 및 이를 포함하는 반도체제조 장치
GB2329515A (en) Platen for semiconductor workpieces
US20040120461A1 (en) Protective coatings for radiation source components
US6274465B1 (en) DC electric field assisted anneal
JPS57202726A (en) Manufacture of semiconductor device
JPH0410688Y2 (ko)

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080331

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee