KR940012563A - 공정실에서 웨이퍼를 자동적으로 위치시키기 위한 시스템 및 방법 - Google Patents

공정실에서 웨이퍼를 자동적으로 위치시키기 위한 시스템 및 방법 Download PDF

Info

Publication number
KR940012563A
KR940012563A KR1019930023996A KR930023996A KR940012563A KR 940012563 A KR940012563 A KR 940012563A KR 1019930023996 A KR1019930023996 A KR 1019930023996A KR 930023996 A KR930023996 A KR 930023996A KR 940012563 A KR940012563 A KR 940012563A
Authority
KR
South Korea
Prior art keywords
support
object support
signal
relative
along
Prior art date
Application number
KR1019930023996A
Other languages
English (en)
Other versions
KR100281029B1 (ko
Inventor
쉬무클러 시몬
지. 와인버그 앤드류
제이. 맥그래쓰 마르틴
Original Assignee
조셉 제이. 스위니
어플라이드 머티이리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티이리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR940012563A publication Critical patent/KR940012563A/ko
Application granted granted Critical
Publication of KR100281029B1 publication Critical patent/KR100281029B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/36Nc in input of data, input key till input tape
    • G05B2219/36405Adjust path by detecting path, line with a photosensor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37283Photoelectric sensor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37608Center and diameter of hole, wafer, object
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/49Nc machine tool, till multiple
    • G05B2219/49299Identify workpiece and align, center workpiece at the same time
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/50Machine tool, machine tool null till machine tool work handling
    • G05B2219/50151Orient, translate, align workpiece to fit position assumed in program

Abstract

자동제어시스템, 특히 반도체 웨이퍼와 같은 구동가능한 물체의 중심점을 검출시키고 물체지지대의 위치를 측정시키키 위한 개선된 위치제어수단은 선별된 예정위치에 상대적으로 물체를 정확하게 위치시킬 목적으로 선별된 예정점으로 물체와 지지대의 상대적인 위치를 검출시키키 위하여 물체와 지지대의 구동의 아치형 경로에 가로지르도록 위치된 일련의 센서를 사용한다.

Description

공정실에서 웨이퍼를 자동적으로 위치시키기 위한 시스템 및 방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명의 시스템 조립체의 개략도이다.
제2도는 제1도의 선 2-2를 따라서 취한 반도체 처리공정실의 단면도이다.
제3a도 내지 3d도는 본 발명의 기판 중심측정기 특징을 새기기 위하여 센서 배열을 교차시키고 제1챔버에서 제2챔버로 교체시키며 자동설비를 연속적으로 구동시키는 것을 예시한 제1도에 도시한 전송실의 단면도들이다.
제4도는 6개의 센서촉발위치와 기판촉발위치의 좌표위치의 결정에 사용된 회전 비교위치의 자동설비중심의 기하학적 관계를 도시한 개략도이다.
제5도는 세 개의 센서촉발위치와 기판의 중심점의 결정에 사용된 기판의 중심 사이의 기하학적 관계를 도시한 개략도이다.
제6a도는 광센서의 상태변화와 파동형태와 이에 상응하는 개별적인 그리고 결합된 간섭신호를 나타내는 전개도이다.
제6b도는 센서신호의 순서화된 처리용의 간단한 회로이다.
제7도는 본 발명의 중심측정방법을 수행하기 위하여 사용된 컴퓨터 프로그램 중심측정기의 흐름도이다.

Claims (17)

  1. a) 제1위치와 선별된 제2위치사이의 예정된 아치경로를 따라서 물체를 전송시키는 구동가능한 지지체를 포함하고, 상기 구동가능한 지지체의 위치는 항상 탐지되고 상기 구동가능한 지지체에 대한 상기 물체의 위치는 초기에는 탐지되지 않는 물체 전송수단과, b) 적어도 두 개는 상기 아치형 경로에 가로지른 축을 따라서 설치되고, 상기 아치경로를 따라서 구동가능한 지지대의 의해서 전송되고 관통될 때 물체의 원주상의 다수의 위치를 검출시키기 위하여 작동되어서 상기 구동가능한 지지대의 공지된 위치에 상대적인 상기 물체의 위치를 결정시키는 신호를 발생시키는 일련의 센서들과, 그리고 (c) 상기 예정될 위치에 물체를 위치시키기 위하여 작동되고 신호에 응답하는 수단으로 구성되는 예정된 위치에 물체를 정확하게 위치시키기 위한 시스템.
  2. 중앙전송실과 상기 중앙전송실의 원주를 따라서 설치된 다수의 원주상의 챔버와 상기 전송실내에 설치되고 물체를 선적시키고, 이동시키며 하역시키기 위하여 상기 원주상의 챔버 전체에서 선두모서리와 말미모서리를 가지는 물체 지지대를 가진 구동가능한 물체 전송수단을 가지며 상기 물체지지대는 상기 원주상의 챔버들 사이에 형성된 아치형 경로를 따라 상기 중앙전송실내에서 구동되는 물체처리 장치에 있어서, a) 물체지지 참고점의 위치를 나타내는 물체지지 참고신호를 제공하기 위한 수단과, b) 아치경로에 가로지른 축을 따라서 장착된 적어도 두 개의 센서를 포함하고, 상기 센서는 관통할 때 구동시키는 물체의 선두모서리와 말미모서리에 의해서 촉진되고 물체위치 참고점이 결정될 수 있는 상응하는 물체 위치신호를 전개시키는 센서배열체와, c) 물체지지 참고신호와 물체위치 신호에 대해서 응답하고 물체지지대에 상대적으로 물체위치를 계산하기 위하여 작동대며 상기 원주상의 챔버중 하나에 있는 상기 선별된 위치에 상기 물체를 위치시키기 위하여 상기 선별된 위치에 상대적인 상응하는 오프셋 위치로 상기 물체지지대를 구동시키도록 계속적으로 작동되는 수단으로 구성되어서 상기 지지대에 상대적으로 물체의 위치를 검색시키고 상기 원주상의 챔버중 하나의 챔버에 물체를 정확하게 위치시키도록 상기 전송수단을 작동시키는 위치제어수단을 포함하는 물체처리장치.
  3. 제2항에 있어서, 상기 물체는 일반적으로 원형안 반도체 웨이퍼로 구성되고 이것의 중심점은 상기 웨이퍼가 상기 물체지지대상에 정확하게 위치될 때 상기 물체지지 중심점과 동심으로 되는 물체처리장치.
  4. 제2항에 있어서, 상기 물체위치신호와 상기 물체지지신호에 응답하는 수단은 챔버에서 챔버로 상기 웨이퍼의 전송을 제어하기 위하여 프로그램화된 컴퓨터 시스템을 포함하는 물체처리장치.
  5. 제4항에 있어서, 물체지지 참고신호를 제공하는 상기 수단은 상기 물체지지 참고신호를 저장하도록 미리 기록된 기억장치를 포함하는 물체처리장치.
  6. 제5항에 있어서, 상기 미리 기록된 물체지지 참고신호는 상기 센서들 중 하나의 센서와 정렬되어서 상기 물체지지대를 홈 위치에서 특정한 위치로 구동시키고 상기 물체지지 참고신호를 계산하기 위하여 상응하는 구동정보를 사용함으로써 얻어지는 물체처리장치.
  7. 제2항에 있어서, 상기 물체지지대는 상기 센서에 의해서 검색가능한 부속품을 가진 외팔보형 블레이드를 포함하는 물체처리장치.
  8. 제7항에 있어서, 물체지지대의 검색가능한 부속품은 상기 센서에 의해서 검색가능한 모서리를 가진 상기 블레이드내에 제공되고 동심상으로 설치된 오리피스를 포함하는 물체처리장치.
  9. 제7항에 있어서, 원주상의 챔버들중 하나의 챔버에 형성된 웨이퍼 위치를 상기 미리 설정된 위치가 인식하는 물체처리장치.
  10. 제2항에 있어서, 상기 센서들은 상기 중앙전송실의 외부에 위치되는 물체처리장치.
  11. 제4항에 있어서, 상가 컴퓨터 시스템은 웨이퍼와 물체 지지 참고점을 결정시키고, 상기 물체지지대에 대한 상기 웨이퍼의 상대적인 위치를 계산하기 위하여 작동되는 제어논리수단과, 물체지지대의 구동을 제어하기 위한 수단과, 논리제어 수단과 센서배열체를 교차시키기 위한 수단으로 구성되는 물체처리장치.
  12. 물체가 지지되고 제1위치와 공지되어 선택된 제2위치사이에 잇는 아치형 경로를 따라서 구동가능한 물체지대에 대하여 물체의 상대적인 위치를 검출시킴으로써 중심점을 가진 상기 물체를 상기 제1위치로부터 상기 제2위치로 정확하게 위치시키고, 상기 구동가능한 물체지지대의 위치는 항상 공지되어 있고 상기 구동가능한 물체지지대에 대한 상기 물체의 위치는 초기에는 공지되어 있지 않은 방법에 있어서, 아치형 경로를 가로지른 축을 따라서 설치된 적어도 두 개의 센서를 포함하는 일련의 센서들을 제공하는 단계와, 상기 물체의 위치를 상기 구동가능한 물체지지대의 공지된 위치에 상대적으로 결정시킬 수 있는 물체신호를 발생시키기 위하여 센서들을 촉발시키도록 아치형 경로를 따라서 구동 가능한 물체지지대를 구동시킴으로써 물체의 원주를 따라서 원주상의 점들을 검출시키는 단계와, 물체신호로부터 구동가능한 물체지지대의 공지된 위치에 상대적으로 물체위치를 계산하는단계와, 그리고 상기 물체의 상기 구동가능한 물체 지지대와 그 위에 지지되는 상기 물체를 상기 선별된 제2위치로 구동시키는 단계로 이루어지는 방법.
  13. 제12항에 있어서, 물체위치를 계산하는 상기 단계는, a) 구동가능한 물체지지대의 공지된 위치와 물체위치 신호로부터 물체의 적어도 세 개의 극좌표점을 결정시키는 단계와, b) 상기 극좌표점들을 직교좌표점으로 변환시키는 단계와, c) 적어도 두쌍의 직교좌표점으로 구성되는 가상선에 대하여 가상의 수직 이등분선의 기울기를 계산하는 단계와, d) 상기 직교좌표점들의 쌍으로 구성되는 가상선의 중간점을 계산하는 단계와, e) 계산된 기울기와 중간점으로부터 수직이등분선의 교차점을 계산하는 단계와, f) 상기 직교좌표점들의 여러쌍에 알맞도록 상기 c) 단계, d) 단계, e) 단계를 반복적으로 수행하는 단계와, g) 특정한 수치범위의 계산된 교차점을 비교하는 단계와, 그리고 h) 특별한 수치범위내에 떨어지느 교차점의 평균값을 계산하는 단계로 이루어지는 방법.
  14. 너비를 알고, 검출가능한 부속품과 공정시스템내 공지된 위치에 상대적인 홈 참고위치를 가지는 구동가능한 물체지지대의 위치를 결정시키고, 상기 구동가능한 지지대는 전송실의 원주를 따라서 설치된 다수의 챔버를 가진 상기 전송실내에 설치되고 방사상 축을 교차시키는 아치형 경로를 따라서 상기 처리실들 사이에 구동가능한 방법에 있어서, 센서신호를 제공하기 위하여 상기 중앙전송실내 상기 방사상 축을 따른 점에 설치된 적어도 하나의 센서를 제공하고 상기 센서는 상기 아치형 경로를 따라서 장착되는 단계와, 센서위치 신호를 발생시키기 위하여 상기 센서들중 적어도 하나의 위치를 검출시키는 단계와, 물체지지 위치신호를 발생시키기 위하여 구동가능한 물체지지대의 위치를 검출시키는 단계와, 그리고 상기 센서위치신호와 물체지지 위치신호로부터 공기된 위치에 상대적으로 물체지지대의 위치를 계산하는 단계로 구성되는 방법.
  15. 제14항에 있어서, 상기 센서들중 적어도 하나의 센서의 위치를 검출시킨 상기 단계는, 상기 검출된 선두모서리와 말미모서리의 점위치를 나타내는 신호를 발생시키도록 센서를 촉진시키도록 아치형 경로를 따른 구동가능한 물체지지대를 구동시킴으로써 상기 구동가능한 물체지지대의 선두모서리와 말미모서리를 검출시키는 단계와, 수치로 상기 점위치를 기록시키는 단계와, 상기 센서위치의 회전각을 제공하도록 작동하는 상기 밸브의 평균을 계산하는 단계와, 계사된 회전각과 구동가능한 물체지지대의 공지된 너비로부터 센서위치의 반경을 계산하는 단계로 이루어지는 방법.
  16. 제15항에 있어서, 구동가능한 물체지지대의 위치를 검출시키는 상기 단계는 상기 구동가능한 물체지지대의 위치는 센서위치의 방사상측과 같은 방향이 되도록 구동가능한 물체지지대를 회전시키는 단계와, 상기 구동가능한 물체지지대의 상기 검출가능한 부속품의 선두모서리와 말미모서리를 검출시키고 상응하는 위치신호를 발생시키도록 작동시키기 위하여 상기 센서를 촉발시키는 센서의 회전축을 따라서 구동가능한 물체지지대를 연장시키는 단계와, 수치로 상기 위치신호를 기록하는 단계와, 상기 선택된 위치로 상대적으로 상기 물체지지대를 구동시키기 위하여 상대적인 위치값으로서 상기 수치와 동일한 상기 지지대의 상기 아치형 경로를 따라서 점을 계산하는 단계로 이루어진다.
  17. 미리 선별된 위치에 있는 물체를 정확하게 위치시키기 위한 방법에 있어서, a) 물체가 지지되는 구동가능한 물체지지대에 대한 물체의 상대적인 위치를 검출시키고, 상기 물체지지대는 제1위치와 상기 미리 선별된 위치사이에 있는 아치형 경로를 따라서 구동가능하며, 상기 구동가능한 물체지지대의 위치는 초기에는 미지이고 사이 구동가능한 물체지지대에 상대적인 상기 물체의 최기위치도 미지인 단계와, b) 아치형 경로에 가로지른 축을 따라서 장착된 적어도 두 개 센서들의 배열체를 제공하는 단계와, c) 센서신호를 발생시키도록 센서위 위치를 검출시키는 단계와, d) 구동가능한 물체지지대의 위치를 검출시키는 단계와, e) 상기 센서신호와 물체지지신호로부터 미리 선별된 위치에 대하여 구동가능한 물체지지대의 상대적인 위치를 계산하는 단계와, f) 물체위치점이 상기 구동가능한 물체지지대에 상대적으로 계산될 수 있는 물체신호를 발생시키기 위하여 센서를 촉발시키도록 아치형 경로를 따라서 구동가능한 물체지지대를 구동시킴으로써 물체의 원주를 따라 원주점들을 검출시키는 단계와, 그리고 g) 물체신호와 물체지지대 신호로부터 구동가능한 물체지지대의 위치에 상대적인 물체위치점을 계산하는 단계로 구성되는 단계와, 그리고 상기 물체의 물체위치점이 상기 미리 선별된 위치와 동일하도록 미리 선별된 위치로 그 위에 지지되는 상기 물체와 상기 구동가능한 물체지지대를 구동시키도록 작동시키는 수단을 포함하는 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019930023996A 1992-11-12 1993-11-12 공정챔버 내에 웨이퍼를 자동적으로 위치시키기 위한 시스템 및 방법 KR100281029B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US97519792A 1992-11-12 1992-11-12
US7/975,197 1992-11-12
US07/975,197 1992-11-12

Publications (2)

Publication Number Publication Date
KR940012563A true KR940012563A (ko) 1994-06-23
KR100281029B1 KR100281029B1 (ko) 2001-03-02

Family

ID=25522782

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019930023996A KR100281029B1 (ko) 1992-11-12 1993-11-12 공정챔버 내에 웨이퍼를 자동적으로 위치시키기 위한 시스템 및 방법

Country Status (5)

Country Link
US (1) US5483138A (ko)
EP (1) EP0597637B1 (ko)
JP (1) JP3335444B2 (ko)
KR (1) KR100281029B1 (ko)
DE (1) DE69329269T2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140130094A (ko) * 2010-08-20 2014-11-07 도쿄엘렉트론가부시키가이샤 기판 반송 장치, 기판 반송 방법 및 그 기판 반송 방법을 실행시키기 위한 프로그램을 기록한 기록 매체

Families Citing this family (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
TW319751B (ko) 1995-05-18 1997-11-11 Toshiba Co Ltd
US5742393A (en) * 1995-06-07 1998-04-21 Varian Associates, Inc. Optical position calibration system
JP3973112B2 (ja) * 1995-06-07 2007-09-12 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド ウェーハの向き整合システム
JPH09102530A (ja) * 1995-06-07 1997-04-15 Varian Assoc Inc ウェーハの向き検査システム
US5768125A (en) * 1995-12-08 1998-06-16 Asm International N.V. Apparatus for transferring a substantially circular article
US5644400A (en) * 1996-03-29 1997-07-01 Lam Research Corporation Method and apparatus for determining the center and orientation of a wafer-like object
US5844683A (en) * 1996-05-22 1998-12-01 Applied Materials, Inc. Position sensor system for substrate holders
US5980194A (en) * 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
US6024393A (en) * 1996-11-04 2000-02-15 Applied Materials, Inc. Robot blade for handling of semiconductor substrate
TW350115B (en) * 1996-12-02 1999-01-11 Toyota Automatic Loom Co Ltd Misregistration detection device and method thereof
JPH10329069A (ja) * 1997-03-31 1998-12-15 Daihen Corp 搬送システムの制御方法
DE19725527A1 (de) * 1997-06-17 1998-12-24 Philips Patentverwaltung Reaktor zur Verarbeitung von Wafern mit einer Schutzvorrichtung
JP2001509643A (ja) * 1997-07-11 2001-07-24 ジェンマーク・オートメーション 複数ポイント位置走査システム
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6205870B1 (en) 1997-10-10 2001-03-27 Applied Komatsu Technology, Inc. Automated substrate processing systems and methods
US5948986A (en) * 1997-12-26 1999-09-07 Applied Materials, Inc. Monitoring of wafer presence and position in semiconductor processing operations
US6198976B1 (en) 1998-03-04 2001-03-06 Applied Materials, Inc. On the fly center-finding during substrate handling in a processing system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6405101B1 (en) 1998-11-17 2002-06-11 Novellus Systems, Inc. Wafer centering system and method
US6190037B1 (en) 1999-02-19 2001-02-20 Applied Materials, Inc. Non-intrusive, on-the-fly (OTF) temperature measurement and monitoring system
US6332751B1 (en) * 1999-04-02 2001-12-25 Tokyo Electron Limited Transfer device centering method and substrate processing apparatus
US6763281B2 (en) 1999-04-19 2004-07-13 Applied Materials, Inc Apparatus for alignment of automated workpiece handling systems
TW469483B (en) * 1999-04-19 2001-12-21 Applied Materials Inc Method and apparatus for aligning a cassette
US6592673B2 (en) 1999-05-27 2003-07-15 Applied Materials, Inc. Apparatus and method for detecting a presence or position of a substrate
US6248642B1 (en) 1999-06-24 2001-06-19 Ibis Technology Corporation SIMOX using controlled water vapor for oxygen implants
US6166509A (en) 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6423975B1 (en) 1999-08-18 2002-07-23 Ibis Technology, Inc. Wafer holder for simox processing
US6155436A (en) * 1999-08-18 2000-12-05 Ibis Technology Corporation Arc inhibiting wafer holder assembly
US6452195B1 (en) 1999-08-18 2002-09-17 Ibis Technology Corporation Wafer holding pin
US6433342B1 (en) 1999-08-18 2002-08-13 Ibis Technology Corporation Coated wafer holding pin
US7012684B1 (en) 1999-09-07 2006-03-14 Applied Materials, Inc. Method and apparatus to provide for automated process verification and hierarchical substrate examination
US6693708B1 (en) 1999-09-07 2004-02-17 Applied Materials, Inc. Method and apparatus for substrate surface inspection using spectral profiling techniques
US6707544B1 (en) 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6721045B1 (en) 1999-09-07 2004-04-13 Applied Materials, Inc. Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques
US6813032B1 (en) 1999-09-07 2004-11-02 Applied Materials, Inc. Method and apparatus for enhanced embedded substrate inspection through process data collection and substrate imaging techniques
US6707545B1 (en) 1999-09-07 2004-03-16 Applied Materials, Inc. Optical signal routing method and apparatus providing multiple inspection collection points on semiconductor manufacturing systems
US6630995B1 (en) 1999-09-07 2003-10-07 Applied Materials, Inc. Method and apparatus for embedded substrate and system status monitoring
JP4389305B2 (ja) 1999-10-06 2009-12-24 東京エレクトロン株式会社 処理装置
US6647303B1 (en) 1999-10-15 2003-11-11 Data I/O Corporation Feeder/programming/buffer control system and control method
US6502054B1 (en) * 1999-11-22 2002-12-31 Lam Research Corporation Method of and apparatus for dynamic alignment of substrates
US6629053B1 (en) * 1999-11-22 2003-09-30 Lam Research Corporation Method and apparatus for determining substrate offset using optimization techniques
US6577923B1 (en) * 1999-12-23 2003-06-10 Applied Materials, Inc. Apparatus and method for robotic alignment of substrates
SE0001312D0 (sv) * 2000-04-10 2000-04-10 Abb Ab Industrirobot
US6381021B1 (en) 2000-06-22 2002-04-30 Applied Materials, Inc. Method and apparatus for measuring reflectivity of deposited films
US6327517B1 (en) 2000-07-27 2001-12-04 Applied Materials, Inc. Apparatus for on-the-fly center finding and notch aligning for wafer handling robots
US6648730B1 (en) 2000-10-30 2003-11-18 Applied Materials, Inc. Calibration tool
JP4696373B2 (ja) * 2001-02-20 2011-06-08 東京エレクトロン株式会社 処理システム及び被処理体の搬送方法
US7008802B2 (en) 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US20020196336A1 (en) * 2001-06-19 2002-12-26 Applied Materials, Inc. Method and apparatus for substrate imaging
US20030012631A1 (en) * 2001-07-12 2003-01-16 Pencis Christopher H. High temperature substrate transfer robot
US6556887B2 (en) * 2001-07-12 2003-04-29 Applied Materials, Inc. Method for determining a position of a robot
US7334826B2 (en) * 2001-07-13 2008-02-26 Semitool, Inc. End-effectors for handling microelectronic wafers
US6831287B2 (en) 2001-10-15 2004-12-14 Multimetrixs, Llc Method and apparatus for preventing transfer of an object having wrong dimensions or orientation
US7054713B2 (en) * 2002-01-07 2006-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Calibration cassette pod for robot teaching and method of using
US6941192B2 (en) * 2002-01-31 2005-09-06 Abb Research Ltd. Robot machining tool position and orientation calibration
US7008517B2 (en) * 2002-02-20 2006-03-07 Applied Materials, Inc. Shutter disk and blade for physical vapor deposition chamber
US6669829B2 (en) * 2002-02-20 2003-12-30 Applied Materials, Inc. Shutter disk and blade alignment sensor
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US20080010845A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Apparatus for cleaning a wafer substrate
JP4260423B2 (ja) * 2002-05-30 2009-04-30 ローツェ株式会社 円盤状物の基準位置教示方法、位置決め方法および搬送方法並びに、それらの方法を使用する円盤状物の基準位置教示装置、位置決め装置、搬送装置および半導体製造設備
US6900877B2 (en) 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
US6856858B2 (en) * 2002-06-21 2005-02-15 Applied Materials, Inc. Shared sensors for detecting substrate position/presence
US6760976B1 (en) 2003-01-15 2004-07-13 Novellus Systems, Inc. Method for active wafer centering using a single sensor
JP2004282002A (ja) * 2003-02-27 2004-10-07 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8634633B2 (en) * 2003-11-10 2014-01-21 Brooks Automation, Inc. Wafer center finding with kalman filter
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
WO2005048313A2 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
US7792350B2 (en) * 2003-11-10 2010-09-07 Brooks Automation, Inc. Wafer center finding
US8267632B2 (en) 2003-11-10 2012-09-18 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8696298B2 (en) 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20050137751A1 (en) * 2003-12-05 2005-06-23 Cox Damon K. Auto-diagnostic method and apparatus
US6934661B2 (en) * 2003-12-16 2005-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer edge detector
KR100553710B1 (ko) * 2004-03-23 2006-02-24 삼성전자주식회사 반도체 웨이퍼를 검사하는 검사 시스템 및 검사 방법
US7433759B2 (en) * 2004-07-22 2008-10-07 Applied Materials, Inc. Apparatus and methods for positioning wafers
US7440091B2 (en) * 2004-10-26 2008-10-21 Applied Materials, Inc. Sensors for dynamically detecting substrate breakage and misalignment of a moving substrate
US7352440B2 (en) 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
US7255747B2 (en) * 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
CN100416791C (zh) * 2005-12-09 2008-09-03 北京圆合电子技术有限责任公司 一种将硅片的中心放置在静电卡盘中心的方法
US7387484B2 (en) * 2005-12-21 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer positioning systems and methods thereof
JP2007242680A (ja) * 2006-03-06 2007-09-20 Hitachi Kokusai Electric Inc 半導体製造装置
US8145358B2 (en) 2006-07-25 2012-03-27 Fisher-Rosemount Systems, Inc. Method and system for detecting abnormal operation of a level regulatory control loop
JP4961895B2 (ja) * 2006-08-25 2012-06-27 東京エレクトロン株式会社 ウェハ搬送装置、ウェハ搬送方法及び記憶媒体
US20080101912A1 (en) * 2006-10-26 2008-05-01 Martin Todd W Deposition analysis for robot motion correction
US8032340B2 (en) * 2007-01-04 2011-10-04 Fisher-Rosemount Systems, Inc. Method and system for modeling a process variable in a process plant
US7717481B2 (en) * 2007-01-11 2010-05-18 Applied Materials, Inc. High temperature robot end effector
JP5064835B2 (ja) 2007-02-28 2012-10-31 株式会社アルバック 基板搬送装置
JP4697192B2 (ja) 2007-06-12 2011-06-08 東京エレクトロン株式会社 位置ずれ検出装置及びこれを用いた処理システム
US8041450B2 (en) 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
US9002514B2 (en) 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
KR101489963B1 (ko) 2007-12-13 2015-02-04 한국에이에스엠지니텍 주식회사 박막 증착 장치 및 이를 이용한 증착 방법
US8273178B2 (en) * 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US7963736B2 (en) 2008-04-03 2011-06-21 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
US7750819B2 (en) * 2008-04-07 2010-07-06 Tech Semiconductor Singapore Pte Ltd Real-time detection of wafer shift/slide in a chamber
JP5001211B2 (ja) * 2008-04-10 2012-08-15 アズビル株式会社 中心位置検出方法および装置、並びに中心位置合わせ方法および装置
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8276959B2 (en) 2008-08-08 2012-10-02 Applied Materials, Inc. Magnetic pad for end-effectors
JP4607994B2 (ja) * 2008-11-25 2011-01-05 ローツェ株式会社 円盤状物の位置決め方法並びに、その方法を使用する円盤状物の位置決め装置、搬送装置および半導体製造設備
JP5548430B2 (ja) * 2008-11-26 2014-07-16 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8666551B2 (en) * 2008-12-22 2014-03-04 Asm Japan K.K. Semiconductor-processing apparatus equipped with robot diagnostic module
US8459922B2 (en) * 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
JP2013093396A (ja) * 2011-10-25 2013-05-16 Sinfonia Technology Co Ltd アーム型搬送装置
JP5851126B2 (ja) * 2011-06-24 2016-02-03 株式会社アルバック 基板位置検出方法
US9196518B1 (en) 2013-03-15 2015-11-24 Persimmon Technologies, Corp. Adaptive placement system and method
US9442482B2 (en) * 2013-04-29 2016-09-13 GlobalFoundries, Inc. System and method for monitoring wafer handling and a wafer handling machine
US9548231B2 (en) 2013-06-05 2017-01-17 Persimmon Technologies, Corp. Robot and adaptive placement system and method
CN104626151B (zh) * 2013-11-13 2016-06-29 沈阳新松机器人自动化股份有限公司 一种机械手晶圆定心装置及方法
US9666465B2 (en) 2013-12-12 2017-05-30 Seagate Technology Llc Positioning apparatus
US10522380B2 (en) * 2014-06-20 2019-12-31 Applied Materials, Inc. Method and apparatus for determining substrate placement in a process chamber
TWI710440B (zh) 2014-11-10 2020-11-21 美商布魯克斯自動機械公司 工具自動教導方法及設備
KR102469258B1 (ko) 2014-11-18 2022-11-22 퍼시몬 테크놀로지스 코포레이션 엔드 이펙터 위치 추정을 위한 로봇의 적응형 배치 시스템
WO2017011581A1 (en) 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US10099377B2 (en) 2016-06-29 2018-10-16 Applied Materials, Inc. Methods and systems providing misalignment correction in robots
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
JP6939581B2 (ja) * 2018-01-10 2021-09-22 Agc株式会社 曲面ガラス基板の加工方法及び製造方法
US10804124B2 (en) * 2018-09-27 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer processing tool capable of detecting wafer warpage and method for detecting wafer warpage
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
CN111736582A (zh) * 2019-03-19 2020-10-02 北京奇虎科技有限公司 路径处理方法、装置、电子设备及计算机可读存储介质

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4507078A (en) * 1983-03-28 1985-03-26 Silicon Valley Group, Inc. Wafer handling apparatus and method
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4833790A (en) * 1987-05-11 1989-05-30 Lam Research Method and system for locating and positioning circular workpieces
FR2620244B1 (fr) * 1987-09-08 1990-01-12 Micro Controle Systeme pour le positionnement rigoureux d'un objet le long d'un axe
JPH0620097B2 (ja) * 1987-10-20 1994-03-16 富士通株式会社 ウエハ位置決め装置
US4980626A (en) * 1989-08-10 1990-12-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method and apparatus for positioning a robotic end effector
US5198740A (en) * 1989-10-04 1993-03-30 University Of Utah Research Foundation Sliding contact mechanical/electrical displacement transducer
TW201364B (ko) * 1991-04-09 1993-03-01 Ito Co Ltd

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140130094A (ko) * 2010-08-20 2014-11-07 도쿄엘렉트론가부시키가이샤 기판 반송 장치, 기판 반송 방법 및 그 기판 반송 방법을 실행시키기 위한 프로그램을 기록한 기록 매체
KR101524335B1 (ko) * 2010-08-20 2015-05-29 도쿄엘렉트론가부시키가이샤 기판 반송 장치, 기판 반송 방법 및 그 기판 반송 방법을 실행시키기 위한 프로그램을 기록한 기록 매체

Also Published As

Publication number Publication date
JPH06224284A (ja) 1994-08-12
KR100281029B1 (ko) 2001-03-02
EP0597637B1 (en) 2000-08-23
JP3335444B2 (ja) 2002-10-15
US5483138A (en) 1996-01-09
DE69329269T2 (de) 2000-12-28
DE69329269D1 (de) 2000-09-28
EP0597637A1 (en) 1994-05-18

Similar Documents

Publication Publication Date Title
KR940012563A (ko) 공정실에서 웨이퍼를 자동적으로 위치시키기 위한 시스템 및 방법
US6522942B2 (en) Transfer apparatus for semiconductor process
US9127965B2 (en) Gobo wheel location drive
KR19980063689A (ko) 위치 어긋남 검출 장치 및 그 방법
WO2001069336A1 (en) Touch calibration system for wafer transfer robot
KR970067748A (ko) 면위치검출방법 및 동방법을 사용하는 스캔노광 방법
US3665608A (en) Position-locating system
TWI675431B (zh) 基板搬送裝置及求出基板搬送機器人與基板載置部之位置關係之方法
US6291815B1 (en) Device for measuring rotation angle of rotary element by unit angles grouped for five units
US5820677A (en) Coater
JPH01164047A (ja) ウエハの位置決め装置
US4021711A (en) Article positioner with zero position sensing means
US5548193A (en) Arm position control device for use in an industrial robot
KR920005211B1 (ko) 다중 콤팩트 디스크 위치 억세스장치
KR930007778B1 (ko) 로봇의 원점 세팅장치
JP2023137928A (ja) 基板処理システム、位置合わせ装置および基板形状監視方法
JPH02183107A (ja) 円筒状物体の真円度測定方法
JP2000010634A (ja) 位置決め装置とその制御方法
JPS62172403A (ja) 回転運動体の原点位置出し装置
JPH04204313A (ja) 半導体ウエハの位置決め方法及び装置
JPS62217110A (ja) ロ−タリエンコ−ダを用いた位置検出方式
KR20050045265A (ko) 반도체 제조용 베이크장치
JPH03108353A (ja) 円板状物の周縁の特異点の検出装置
KR200184106Y1 (ko) 엘립소미터의 이송장치의 오동작 방지장치
KR0137357Y1 (ko) 작업용 핑거 교환 장치

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20081007

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee