KR890003982A - 다이아몬드, 도우프된 다이아몬드, 다이아몬드-큐빅 질화붕소 복합필름의 제조 방법 - Google Patents

다이아몬드, 도우프된 다이아몬드, 다이아몬드-큐빅 질화붕소 복합필름의 제조 방법 Download PDF

Info

Publication number
KR890003982A
KR890003982A KR1019880010481A KR880010481A KR890003982A KR 890003982 A KR890003982 A KR 890003982A KR 1019880010481 A KR1019880010481 A KR 1019880010481A KR 880010481 A KR880010481 A KR 880010481A KR 890003982 A KR890003982 A KR 890003982A
Authority
KR
South Korea
Prior art keywords
hydrogen
diamond
containing gas
substrate
region
Prior art date
Application number
KR1019880010481A
Other languages
English (en)
Inventor
브이.데스판디 찬드라
에프.분사 로인탄
제이.도어 한스
Original Assignee
원본미기재
더 리젠트 오브 더 유니버시티 오브 캘리-포니아
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 원본미기재, 더 리젠트 오브 더 유니버시티 오브 캘리-포니아 filed Critical 원본미기재
Publication of KR890003982A publication Critical patent/KR890003982A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0605Carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/32Vacuum evaporation by explosion; by evaporation and subsequent ionisation of the vapours, e.g. ion-plating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/04Diamond

Abstract

내용 없음

Description

다이아몬드, 도우프된 다이아몬드, 다이아몬드-큐빅 질화붕소 복합필름의 제조 방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
도면은 전공 챔버, 및 발명을 수행하기에 적합하고 본 발명 장치의 바람직한 실시양태에 포함시키기에 적합한 관련 장치의 수직 단면도이다.

Claims (19)

  1. 기질을 진공중에 지지시키고; 탄소원을 증발시켜 탄소원 및 기질사이의 영역(zone)에 탄소증기를 생성하고; 수소함유 기체를 상기 영역으로 도입시키고; 상기영역에 전기장을 생성시키고 전자를 상기 영역으로 편향시켜 탄소증기 및 수소함유 기체를 이온화 시키고 이온화된 탄소증기 및 수소함유 기체를 반응시켜 다이아몬드 전구체를 형성시킨후 언급된 기질상에 침착deposit)시키고 다이아몬드 함유 필름을 형성함을 특징으로 하여, 다이아몬드 함유 필름을 기질상에 침착시키는 방법.
  2. 제1항에 있어서, 탄소원이 그라파이트인 방법.
  3. 제2항에 있어서, 그라파이트가 전자빔(beam)에 의해 증발되는 방법.
  4. 제1항에 있어서, 수소함유 기체가 수소, 메탄, 에탄 및 기타 탄화수소 기체중에서 선택되는 방법.
  5. 제4항에 있어서, 수소함유 기체가 수소 및 탄화수소 기체의 혼합물 또는 수소 및 아르곤의 혼합물 또는 수소, 아르곤 및 탄화수소 기체의 혼합물인 방법.
  6. 제1항에 있어서, 기질이 스테인레스스틸, 몰리브덴, 유리, 석영, 실리콘 및 알루미늄중에서 선택되는 방법.
  7. 제1항에 있어서, 기질의 온도가 약 600 내지 1000℃로 유지시키는 방법.
  8. 제1항에 있어서, 수소 함유 기체의 분압이 약 2×10-4내지 20×10-3torr인 방법.
  9. 제8항에 있어서, 수소 함유 기체가 수소인 방법.
  10. 제1항에 있어서, 언급된 영역으로 편향되는 전자가 가열된 필라멘트에 의해 생성되는 방법.
  11. 제10항에 있어서, 필라멘트가 텅스텐, 탄탈룸, 몰리브덴 또는 탄소인 방법.
  12. 제1항에 있어서, 전자가 양극쪽으로 향하도록 촉진함으로써 전자를 언급된 영역으로 편향시키는 방법.
  13. 제10항에 있어서, 필라멘트에 의해 생성된 전자가 언급된 영역을 통해 양극쪽으로 향하도록 촉진되는 방법.
  14. 제13항에 있어서, 양극의 전위가 약 80 volt인 방법.
  15. 제1항에 있어서, 필름이 알루미늄, 리튬 또는 붕소와 같은 p 및 n형 도우프제(dopant)로 도우프된 방법.
  16. 제1항에 있어서, 다이아몬드 큐빅 질화붕소를 함유하는 필름이 침착되는 방법.
  17. 제15항에 있어서, 붕소 함유 기체를 언급된 영역으로 도입시켜 붕소 도우프된 다이아몬드를 함유하는 필름을 제공하는 방법.
  18. 제15항에 있어서, 트리메틸 알루미늄과 같은 금속 유기 화합물 증기를 언급된 영역으로 도입시켜 알루미늄 도우프된 다이아몬드를 함유하는 필름을 제공하는 방법.
  19. 제1항에 있어서, 수소 함유 기체가 CH4, 질소 및 수소를 함유하며 붕산/산화붕소를 탄소와 함께 공-증발 시킴으로서 큐빅 질화붕소 도우프된 다이아몬드를 함유하는 필름을 형성시키는 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019880010481A 1987-08-19 1988-08-18 다이아몬드, 도우프된 다이아몬드, 다이아몬드-큐빅 질화붕소 복합필름의 제조 방법 KR890003982A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US087,141 1987-08-19
US07/087,141 US4816291A (en) 1987-08-19 1987-08-19 Process for making diamond, doped diamond, diamond-cubic boron nitride composite films

Publications (1)

Publication Number Publication Date
KR890003982A true KR890003982A (ko) 1989-04-19

Family

ID=22203360

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019880010481A KR890003982A (ko) 1987-08-19 1988-08-18 다이아몬드, 도우프된 다이아몬드, 다이아몬드-큐빅 질화붕소 복합필름의 제조 방법

Country Status (8)

Country Link
US (1) US4816291A (ko)
EP (1) EP0304201A1 (ko)
JP (1) JPS6472993A (ko)
KR (1) KR890003982A (ko)
BR (1) BR8804197A (ko)
CA (1) CA1329791C (ko)
IL (1) IL87406A0 (ko)
ZA (1) ZA885917B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030064942A (ko) * 2002-01-29 2003-08-06 오승준 스크래치 방지막을 가지는 조리 기구 및 그 제조방법
US8852406B2 (en) 2012-05-03 2014-10-07 Korea Institute Of Science And Technology Method for synthesis of cubic boron nitride

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900008505B1 (ko) * 1987-02-24 1990-11-24 세미콘덕터 에너지 라보라터리 캄파니 리미티드 탄소 석출을 위한 마이크로파 강화 cvd 방법
US6224952B1 (en) 1988-03-07 2001-05-01 Semiconductor Energy Laboratory Co., Ltd. Electrostatic-erasing abrasion-proof coating and method for forming the same
US5190824A (en) 1988-03-07 1993-03-02 Semiconductor Energy Laboratory Co., Ltd. Electrostatic-erasing abrasion-proof coating
JPH01301864A (ja) * 1988-05-31 1989-12-06 Hoya Corp ガラス成形型の製造方法
DE68913883T2 (de) * 1988-09-20 1994-09-22 Ibm Vorrichtung und Verfahren zur Herstellung von Diamantfilmen bei tiefen Temperaturen.
ATE156324T1 (de) * 1988-12-27 1997-08-15 Canon Kk Durch elektrisches feld lichtemittierende vorrichtung
US5304461A (en) * 1989-01-10 1994-04-19 Kabushiki Kaisha Kobe Seiko Sho Process for the selective deposition of thin diamond film by gas phase synthesis
JPH02192494A (ja) * 1989-01-20 1990-07-30 Sumitomo Electric Ind Ltd 複合材料
IL93399A (en) * 1989-02-16 1994-06-24 De Beers Ind Diamond Epithelium of a diamond or a layer of diamond figures
US5296274A (en) * 1989-05-10 1994-03-22 Movchan Boris A Method of producing carbon-containing materials by electron beam vacuum evaporation of graphite and subsequent condensation
US5051785A (en) * 1989-06-22 1991-09-24 Advanced Technology Materials, Inc. N-type semiconducting diamond, and method of making the same
US4961958A (en) * 1989-06-30 1990-10-09 The Regents Of The Univ. Of Calif. Process for making diamond, and doped diamond films at low temperature
US4953499A (en) * 1989-08-03 1990-09-04 General Electric Company Apparatus for synthetic diamond deposition including curved filaments and substrate cooling means
EP0420188A1 (en) * 1989-09-27 1991-04-03 Sumitomo Electric Industries, Ltd. Semiconductor heterojunction structure
US5082359A (en) * 1989-11-28 1992-01-21 Epion Corporation Diamond films and method of growing diamond films on nondiamond substrates
US5075094A (en) * 1990-04-30 1991-12-24 The United States Of America As Represented By The Secretary Of The Navy Method of growing diamond film on substrates
US5142785A (en) * 1991-04-26 1992-09-01 The Gillette Company Razor technology
US5786068A (en) * 1991-05-03 1998-07-28 Advanced Refractory Technologies, Inc. Electrically tunable coatings
US5728465A (en) * 1991-05-03 1998-03-17 Advanced Refractory Technologies, Inc. Diamond-like nanocomposite corrosion resistant coatings
US5352493A (en) * 1991-05-03 1994-10-04 Veniamin Dorfman Method for forming diamond-like nanocomposite or doped-diamond-like nanocomposite films
US5718976A (en) * 1991-05-03 1998-02-17 Advanced Refractory Technologies, Inc. Erosion resistant diamond-like nanocomposite coatings for optical components
US5232568A (en) * 1991-06-24 1993-08-03 The Gillette Company Razor technology
US5669144A (en) * 1991-11-15 1997-09-23 The Gillette Company Razor blade technology
ZA928617B (en) * 1991-11-15 1993-05-11 Gillette Co Shaving system.
CH683776A5 (de) * 1991-12-05 1994-05-13 Alusuisse Lonza Services Ag Beschichten einer Substratfläche mit einer Permeationssperre.
US5295305B1 (en) * 1992-02-13 1996-08-13 Gillette Co Razor blade technology
US5783335A (en) * 1992-04-07 1998-07-21 The Regents Of The University Of California, Office Of Technology Transfer Fluidized bed deposition of diamond
WO1995027806A1 (en) * 1994-04-06 1995-10-19 The Regents Of The University Of California Process to produce diamond films
US5795648A (en) * 1995-10-03 1998-08-18 Advanced Refractory Technologies, Inc. Method for preserving precision edges using diamond-like nanocomposite film coatings
US6468642B1 (en) 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
US5638251A (en) * 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
ES2166873T3 (es) * 1996-01-10 2002-05-01 Alcan Tech & Man Ag Procedimiento y dispositivo para revestir la superficie de un substrato.
US6082294A (en) * 1996-06-07 2000-07-04 Saint-Gobain Industrial Ceramics, Inc. Method and apparatus for depositing diamond film
US6013980A (en) 1997-05-09 2000-01-11 Advanced Refractory Technologies, Inc. Electrically tunable low secondary electron emission diamond-like coatings and process for depositing coatings
US6077572A (en) * 1997-06-18 2000-06-20 Northeastern University Method of coating edges with diamond-like carbon
US6461731B1 (en) 1999-05-03 2002-10-08 Guardian Industries Corp. Solar management coating system including protective DLC
US6491987B2 (en) 1999-05-03 2002-12-10 Guardian Indusries Corp. Process for depositing DLC inclusive coating with surface roughness on substrate
US6303225B1 (en) 2000-05-24 2001-10-16 Guardian Industries Corporation Hydrophilic coating including DLC on substrate
US6475573B1 (en) 1999-05-03 2002-11-05 Guardian Industries Corp. Method of depositing DLC inclusive coating on substrate
US6280834B1 (en) 1999-05-03 2001-08-28 Guardian Industries Corporation Hydrophobic coating including DLC and/or FAS on substrate
US6368664B1 (en) 1999-05-03 2002-04-09 Guardian Industries Corp. Method of ion beam milling substrate prior to depositing diamond like carbon layer thereon
US6335086B1 (en) 1999-05-03 2002-01-01 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6447891B1 (en) 1999-05-03 2002-09-10 Guardian Industries Corp. Low-E coating system including protective DLC
US6312808B1 (en) 1999-05-03 2001-11-06 Guardian Industries Corporation Hydrophobic coating with DLC & FAS on substrate
US6277480B1 (en) 1999-05-03 2001-08-21 Guardian Industries Corporation Coated article including a DLC inclusive layer(s) and a layer(s) deposited using siloxane gas, and corresponding method
US6284377B1 (en) 1999-05-03 2001-09-04 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6261693B1 (en) * 1999-05-03 2001-07-17 Guardian Industries Corporation Highly tetrahedral amorphous carbon coating on glass
GB0008286D0 (en) 2000-04-04 2000-05-24 Applied Materials Inc A vaporiser for generating feed gas for an arc chamber
US6713179B2 (en) 2000-05-24 2004-03-30 Guardian Industries Corp. Hydrophilic DLC on substrate with UV exposure
AU2002243646B2 (en) * 2001-01-25 2006-06-22 The Government Of The United States Of America, As Represented By The Secretary, Department Of Health And Human Services Formulation of boronic acid compounds
US8220489B2 (en) 2002-12-18 2012-07-17 Vapor Technologies Inc. Faucet with wear-resistant valve component
US8555921B2 (en) 2002-12-18 2013-10-15 Vapor Technologies Inc. Faucet component with coating
US7866343B2 (en) * 2002-12-18 2011-01-11 Masco Corporation Of Indiana Faucet
US6904935B2 (en) * 2002-12-18 2005-06-14 Masco Corporation Of Indiana Valve component with multiple surface layers
US7866342B2 (en) * 2002-12-18 2011-01-11 Vapor Technologies, Inc. Valve component for faucet
US7390535B2 (en) * 2003-07-03 2008-06-24 Aeromet Technologies, Inc. Simple chemical vapor deposition system and methods for depositing multiple-metal aluminide coatings
US7687146B1 (en) 2004-02-11 2010-03-30 Zyvex Labs, Llc Simple tool for positional diamond mechanosynthesis, and its method of manufacture
EP2400531A3 (en) * 2005-06-20 2012-03-28 Nippon Telegraph And Telephone Corporation Diamond semiconductor element and process for producing the same
US20070026205A1 (en) * 2005-08-01 2007-02-01 Vapor Technologies Inc. Article having patterned decorative coating
JP6586328B2 (ja) * 2015-09-04 2019-10-02 東京エレクトロン株式会社 被処理体を処理する方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ZA711702B (en) * 1970-03-20 1971-12-29 Whittaker Corp Film deposition
US3791852A (en) * 1972-06-16 1974-02-12 Univ California High rate deposition of carbides by activated reactive evaporation
US3916052A (en) * 1973-05-16 1975-10-28 Airco Inc Coating of carbon-containing substrates with titanium carbide
DD138678A1 (de) * 1978-08-23 1979-11-14 Christian Weissmantel Verfahren zur herstellung von kohlenstoffschichten mit diamantaehnlichen eigenschaften
US4416912A (en) * 1979-10-13 1983-11-22 The Gillette Company Formation of coatings on cutting edges
US4297387A (en) * 1980-06-04 1981-10-27 Battelle Development Corporation Cubic boron nitride preparation
SU1040631A1 (ru) * 1980-06-25 1983-09-07 Предприятие П/Я В-8851 Вакуумно-дуговое устройство
US4336277A (en) * 1980-09-29 1982-06-22 The Regents Of The University Of California Transparent electrical conducting films by activated reactive evaporation
AU541105B2 (en) * 1981-02-23 1984-12-13 Vsesojuzny Nauchno-Issledovatelsky Instrumentalny Institut Multilayer coating for metal-cutting tool
DE3152761C2 (de) * 1981-03-02 1988-11-10 Vsesojuznyj naučno-issledovatel'skij instrumental'nyj institut, Moskau/Moskva Beschichtung für ein Schneidwerkzeug
GB2111534A (en) * 1981-12-16 1983-07-06 Energy Conversion Devices Inc Making photoresponsive amorphous alloys and devices by reactive plasma sputtering
US4452686A (en) * 1982-03-22 1984-06-05 Axenov Ivan I Arc plasma generator and a plasma arc apparatus for treating the surfaces of work-pieces, incorporating the same arc plasma generator
US4486286A (en) * 1982-09-28 1984-12-04 Nerken Research Corp. Method of depositing a carbon film on a substrate and products obtained thereby
US4412899A (en) * 1983-02-07 1983-11-01 Applied Coatings International, Inc. Cubic boron nitride preparation utilizing nitrogen gas
US4655893A (en) * 1983-02-07 1987-04-07 Battelle Development Corporation Cubic boron nitride preparation utilizing a boron and nitrogen bearing gas
US4415420A (en) * 1983-02-07 1983-11-15 Applied Coatings International, Inc. Cubic boron nitride preparation
US4495044A (en) * 1983-05-17 1985-01-22 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Diamondlike flakes
US4656052A (en) * 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
CA1232228A (en) * 1984-03-13 1988-02-02 Tatsuro Miyasato Coating film and method and apparatus for producing the same
JPS60221566A (ja) * 1984-04-18 1985-11-06 Agency Of Ind Science & Technol 薄膜形成装置
JPS61183458A (ja) * 1985-02-08 1986-08-16 Citizen Watch Co Ltd 黒色イオンプレ−テイング膜

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030064942A (ko) * 2002-01-29 2003-08-06 오승준 스크래치 방지막을 가지는 조리 기구 및 그 제조방법
US8852406B2 (en) 2012-05-03 2014-10-07 Korea Institute Of Science And Technology Method for synthesis of cubic boron nitride

Also Published As

Publication number Publication date
US4816291A (en) 1989-03-28
CA1329791C (en) 1994-05-24
JPS6472993A (en) 1989-03-17
IL87406A0 (en) 1989-01-31
BR8804197A (pt) 1989-03-14
EP0304201A1 (en) 1989-02-22
ZA885917B (en) 1989-04-26

Similar Documents

Publication Publication Date Title
KR890003982A (ko) 다이아몬드, 도우프된 다이아몬드, 다이아몬드-큐빅 질화붕소 복합필름의 제조 방법
US5462776A (en) Conversion of fullerenes to diamonds
US5209916A (en) Conversion of fullerenes to diamond
US6416820B1 (en) Method for forming carbonaceous hard film
US6214651B1 (en) Doped diamond for vacuum diode heat pumps and vacuum diode thermionic generators
US5981071A (en) Doped diamond for vacuum diode heat pumps and vacuum diode thermionic generators
CA2018886A1 (en) Process for making diamond, doped diamond, diamond-cubic boron nitride composite films at low temperature
US6388366B1 (en) Carbon nitride cold cathode
JPH11504751A (ja) 窒化ホウ素冷陰極
JPH04958B2 (ko)
JPS61158899A (ja) ダイヤモンド膜の製法
JPH0566359B2 (ko)
EP0371145B1 (en) Process for vapor-phase synthesis of diamond
KR970701274A (ko) 기질(substrate)을 코팅하는 방법 및 장치
JPH04959B2 (ko)
US5405515A (en) Method and apparatus for production of a carbon nitride
JPS63185891A (ja) ダイヤモンド薄膜又はダイヤモンド状薄膜の製造方法
JPH0419197B2 (ko)
JPS63185894A (ja) ダイヤモンド薄膜又はダイヤモンド状薄膜の製造方法
JPH0449518B2 (ko)
KR100262824B1 (ko) 질화탄소 박막 형성장치 및 그 방법
JPS62180072A (ja) 非晶質炭素膜およびその製造方法
JPS63107899A (ja) 薄膜形成方法
JPS61219709A (ja) ダイヤモンドライクカ−ボンの製造方法
JPS62214174A (ja) 非晶質炭素膜の製造方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid