KR20220101108A - 유체의 연동 펌핑 및 연관 방법, 시스템, 및 디바이스 - Google Patents

유체의 연동 펌핑 및 연관 방법, 시스템, 및 디바이스 Download PDF

Info

Publication number
KR20220101108A
KR20220101108A KR1020227017669A KR20227017669A KR20220101108A KR 20220101108 A KR20220101108 A KR 20220101108A KR 1020227017669 A KR1020227017669 A KR 1020227017669A KR 20227017669 A KR20227017669 A KR 20227017669A KR 20220101108 A KR20220101108 A KR 20220101108A
Authority
KR
South Korea
Prior art keywords
surface layer
cartridge
channel
roller
crank
Prior art date
Application number
KR1020227017669A
Other languages
English (en)
Inventor
조나단 엠. 로스버그
조나단 씨. 슐츠
존 에이치. 리몬
토드 로스웨치
샤오샤오 마
Original Assignee
퀀텀-에스아이 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 퀀텀-에스아이 인코포레이티드 filed Critical 퀀텀-에스아이 인코포레이티드
Publication of KR20220101108A publication Critical patent/KR20220101108A/ko

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04BPOSITIVE-DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS
    • F04B43/00Machines, pumps, or pumping installations having flexible working members
    • F04B43/12Machines, pumps, or pumping installations having flexible working members having peristaltic action
    • F04B43/1223Machines, pumps, or pumping installations having flexible working members having peristaltic action the actuating elements, e.g. rollers, moving in a straight line during squeezing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L3/00Containers or dishes for laboratory use, e.g. laboratory glassware; Droppers
    • B01L3/50Containers for the purpose of retaining a material to be analysed, e.g. test tubes
    • B01L3/502Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures
    • B01L3/5027Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip
    • B01L3/50273Containers for the purpose of retaining a material to be analysed, e.g. test tubes with fluid transport, e.g. in multi-compartment structures by integrated microfluidic structures, i.e. dimensions of channels and chambers are such that surface tension forces are important, e.g. lab-on-a-chip characterised by the means or forces applied to move the fluids
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04BPOSITIVE-DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS
    • F04B13/00Pumps specially modified to deliver fixed or variable measured quantities
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F04POSITIVE - DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS FOR LIQUIDS OR ELASTIC FLUIDS
    • F04BPOSITIVE-DISPLACEMENT MACHINES FOR LIQUIDS; PUMPS
    • F04B43/00Machines, pumps, or pumping installations having flexible working members
    • F04B43/12Machines, pumps, or pumping installations having flexible working members having peristaltic action
    • F04B43/14Machines, pumps, or pumping installations having flexible working members having peristaltic action having plate-like flexible members
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N35/00Automatic analysis not limited to methods or materials provided for in any single one of groups G01N1/00 - G01N33/00; Handling materials therefor
    • G01N35/10Devices for transferring samples or any liquids to, in, or from, the analysis apparatus, e.g. suction devices, injection devices
    • G01N35/1009Characterised by arrangements for controlling the aspiration or dispense of liquids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2200/00Solutions for specific problems relating to chemical or physical laboratory apparatus
    • B01L2200/02Adapting objects or devices to another
    • B01L2200/026Fluid interfacing between devices or objects, e.g. connectors, inlet details
    • B01L2200/027Fluid interfacing between devices or objects, e.g. connectors, inlet details for microfluidic devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/08Geometry, shape and general structure
    • B01L2300/0861Configuration of multiple channels and/or chambers in a single devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01LCHEMICAL OR PHYSICAL LABORATORY APPARATUS FOR GENERAL USE
    • B01L2300/00Additional constructional details
    • B01L2300/12Specific details about materials
    • B01L2300/123Flexible; Elastomeric

Abstract

본 명세서에 설명된 실시예는 일반적으로 유체의 연동 펌핑을 위한 장치, 카트리지, 및 펌프 및 연관 방법, 시스템 및 디바이스에 관한 것이다. 유체의 펌핑은 특정 경우에, 바이오분석 애플리케이션(예를 들어, 생물학적 샘플 분석, 시퀀싱, 식별)과 같은 다양한 애플리케이션의 중요한 양태이다. 본 명세서에 설명된 발명적인 특징은 몇몇 실시예에서, 로봇 유체 취급 시스템의 특정 장점(예를 들어, 자동화, 프로그램 가능성, 구성 가능성, 유연성)을 미세유체학의 특정 장점(예를 들어, 높은 유체 분해능, 정밀도, 모놀리식 소모품, 소모품에 대한 구성요소의 습윤의 제한을 갖는 작은 유체 체적)과 조합하는 방식으로 유체를 펌핑하는 능력을 제공할 수도 있다.

Description

유체의 연동 펌핑 및 연관 방법, 시스템, 및 디바이스
관련 출원
본 출원은 모든 목적으로 본 명세서에 그대로 참조로서 합체되어 있는, 2019년 10월 29일 출원되고, 발명의 명칭이 "유체의 연동 펌핑 및 연관 방법, 시스템, 및 디바이스(Peristaltic Pumping of Fluids and Associated Methods, Systems, and Devices)"인 미국 가출원 제62/927,385호를 35 U.S.C. § 119(e) 하에서 우선권 주장한다.
분야
본 명세서에 설명된 실시예는 일반적으로 유체의 연동 펌핑을 위한 장치, 카트리지, 및 펌프 및 연관 방법, 시스템 및 디바이스에 관한 것이다.
미세유체학은 일반적으로 적어도 하나의 차원(예를 들어, 2개의 차원)에서 기하학적으로 구속된 유체(들)의 유동을 제어하는 것을 수반한다. 예를 들어, 미세유체학은 통상적으로 크기가 1 mm 미만인 적어도 하나의 차원을 갖는 용기(들)(예를 들어, 채널(들)) 내의 유체(들)의 유동을 제어하는 것을 수반할 수도 있다. 예를 들어, 1 mL 이하의 정도의 비교적 높은 유체 유동 분해능으로 유체를 수송하는 능력은 예를 들어, 비교적 적은 수의 분자(예를 들어, 핵산, 펩타이드, 단백질)가 준비되고 그리고/또는 검출되어야 하는 생물 의학적 애플리케이션에서 유리할 수도 있다. 그러나, 미세유체 스케일로 유체를 펌핑하는 종래의 시스템 및 방법은 종래의 미세유체 펌핑 시스템을 포함하는 디바이스의 소형화를 방해하고 그리고/또는 종래의 미세유체 펌핑 시스템을 통한 샘플의 처리량을 감소시키는 한계를 겪을 수도 있다.
이에 따라, 개선된 시스템 및 방법이 필요하다.
본 명세서에 설명된 실시예는 일반적으로 유체의 연동 펌핑을 위한 장치, 카트리지, 및 펌프 및 연관 방법, 시스템 및 디바이스에 관한 것이다.
몇몇 양태에서, 장치가 설명된다. 몇몇 실시예에서, 장치는 롤러, 및 연결 아암에 의해 롤러에 연결된 크랭크 및 로커 메커니즘을 포함한다.
몇몇 실시예에서, 장치는 롤러, 크랭크, 로커, 및 크랭크를 로커 및 롤러에 결합하도록 구성된 연결 아암을 포함한다.
몇몇 양태에서, 카트리지가 설명된다. 몇몇 실시예에서, 카트리지는 채널을 포함하는 표면을 갖는 베이스 층을 포함하고, 채널 중 적어도 몇몇의 적어도 일부는 채널의 베이스에 단일 정점을 갖고 베이스 층의 표면에 2개의 다른 정점을 갖는 실질적으로 삼각형 형상의 단면을 갖고, 채널의 표면 개구를 실질적으로 밀봉하도록 구성된 엘라스토머를 포함하는 표면층을 갖는다.
몇몇 양태에서, 연동 펌프가 설명된다. 몇몇 실시예에서, 연동 펌프는 (i) 롤러; 및 (ii) 채널을 포함하는 표면을 갖는 베이스 층을 포함하는 카트리지를 포함하고, 채널 중 적어도 몇몇의 적어도 일부는 채널의 베이스에 단일 정점을 갖고 베이스 층의 표면에 2개의 다른 정점을 갖는 실질적으로 삼각형 형상의 단면을 갖고, 채널의 표면 개구를 실질적으로 밀봉하도록 구성된 엘라스토머를 포함하는 표면층을 갖는다.
다른 양태에서, 장치를 제조하는 방법이 설명된다. 몇몇 실시예에서, 방법은 크랭크 아암, 로커 아암 및 롤러를 연결 아암에 연결하는 단계, 및 로커 아암의 샤프트를 크랭크 아암의 샤프트에 연결하여 로커 샤프트의 회전축이 크랭크 샤프트의 회전축에 대해 고정 유지되게 하는 단계를 포함한다.
다른 양태에서, 카트리지를 제조하는 방법이 설명된다. 몇몇 실시예에서, 방법은 표면층을 포함하는 표면 물품을 베이스 층과 조립하여 카트리지를 형성하는 단계를 포함하고, 표면층은 엘라스토머를 포함하고, 베이스 층은 하나 이상의 채널을 포함하고, 하나 이상의 채널 중 적어도 몇몇은 실질적으로 삼각형 형상의 단면을 갖는다.
다른 양태에서, 펌프를 제조하는 방법이 설명된다. 몇몇 실시예에서, 방법은 표면층을 포함하는 표면 물품을 베이스 층과 조립하여 카트리지를 형성하는 단계, 롤러를 포함하는 장치를 조립하는 단계, 및 롤러 아래에 카트리지를 위치설정하는 단계를 포함하고, 표면층은 엘라스토머를 포함하고, 베이스 층은 하나 이상의 채널을 포함하고, 하나 이상의 채널 중 적어도 몇몇은 실질적으로 삼각형 형상의 단면을 갖는다.
다른 양태에서, 방법이 설명된다. 몇몇 실시예에서, 방법은 롤러가 기판 표면과 맞물리고 그리고/또는 분리되도록 본 명세서에 설명된 장치의 크랭크 또는 연동 펌프를 회전시키는 단계를 포함한다.
다른 양태에서, 방법이 설명된다. 몇몇 실시예에서, 방법은 엘라스토머를 포함하는 표면층의 제1 부분을 유체를 포함하는 채널로 변형시켜, 표면층의 제1 부분의 내부 표면이 표면층의 제1 부분의 내부 표면에 근접한 채널의 벽 및/또는 베이스의 제1 부분에 접촉하게 하는 단계, 및 이 변형을 표면층의 제2 부분에 병진하여 표면층의 제2 부분의 내부 표면이 표면층의 제2 부분의 내부 표면에 근접한 채널의 벽 및/또는 베이스의 제2 부분에 접촉하게 하는 단계를 포함하고, 표면층은 채널의 표면 개구를 밀봉하도록 구성된다.
본 발명의 교시의 및 다른 양태, 실시예, 및 특징은 첨부 도면과 함께 이하의 설명으로부터 더 완전하게 이해될 수 있다. 본 명세서 및 참조로서 합체된 문헌이 상충하는 및/또는 불일치하는 개시내용을 포함하는 경우, 본 명세서가 우선할 것이다. 참조로서 합체되어 있는 2개 이상의 문헌이 서로에 대해 상충하는 및/또는 불일치하는 개시내용을 포함하는 경우, 이후의 유효일을 갖는 문헌이 우선할 것이다.
통상의 기술자는 본 명세서에 설명된 도면이 단지 예시의 목적이라는 것을 이해할 수 있을 것이다. 몇몇 경우에, 본 발명의 다양한 양태가 본 발명의 이해를 용이하게 하기 위해 과장되거나 확대되어 도시되어 있을 수도 있다는 것이 이해되어야 한다. 도면에서, 유사한 참조 번호는 일반적으로 다양한 도면 전체에 걸쳐 유사한 특징, 기능적으로 유사한 및/또는 구조적으로 유사한 요소를 나타낸다. 도면은 반드시 실제 축척대로 도시되어 있는 것은 아니고, 대신에 본 발명의 교시의 원리를 예시하는데 있어서 강조가 부여되어 있다. 도면은 본 발명의 교시의 범주를 결코 한정하도록 의도된 것은 아니다.
본 발명의 특징 및 장점은 도면과 함께 취해질 때 이하에 설명된 상세한 설명으로부터 더 명백해질 것이고,
도면을 참조하여 실시예를 설명할 때, 방향 참조("위", "아래", "상", "하", "좌", "우", "수평", "수직" 등)가 사용될 수도 있다. 이러한 참조는 단지 도면을 정상적인 배향으로 보는 독자에 대한 보조물로서 의도된 것일 뿐이다. 이들 방향 참조는 구현된 디바이스의 선호되는 또는 유일한 배향을 설명하도록 의도된 것은 아니다. 디바이스는 다른 배향으로 구현될 수도 있다.
상세한 설명으로부터 명백한 바와 같이, 도면에 도시되고 본 출원 전체에 걸쳐 예시의 목적으로 추가로 설명된 예는 비한정적인 실시예를 설명하고, 몇몇 경우에 더 명백한 예시를 위해 특정 프로세스를 단순화하거나 특징 또는 단계를 생략할 수도 있다.
도면에서:
도 1a는 몇몇 실시예에 따른, 펌프 및 하류 모듈의 개략도이다.
도 1b는 몇몇 실시예에 따른 펌프, 하류 모듈, 선택적 저장조, 선택적 겔, 및 선택적 로딩 모듈의 개략도이다.
도 2a는 몇몇 실시예에 따른, 장치(200)의 측면도의 개략도이다.
도 2b는 몇몇 실시예에 따른, 회전축(221)을 갖는 평면내 롤러(220)의 단면도의 개략도이다.
도 3a는 몇몇 실시예에 따른, 채널(102)의 폭을 따른 카트리지(100)의 단면도의 개략도이다.
도 3b는 몇몇 실시예에 따른, 방법(400)이 상부 도면으로부터 하부 도면으로 점진적으로 진행하는 것을 도시하고 있는, 채널(102)의 베이스와 평면내의 채널(102)의 길이를 따른 연동 펌프(300)의 일련의 단면 개략도이다.
도 3c는 몇몇 실시예에 따른, 채널(102)의 베이스와 평면내의 채널(102)의 폭을 따른 연동 펌프(300)의 단면 개략도이다.
도 4a는 몇몇 실시예에 따른, 장치, 디바이스 또는 시스템을 제조하는 방법(500)을 예시하는 흐름도이다.
도 4b는 몇몇 실시예에 따른, 장치, 디바이스 또는 시스템을 사용하는 방법(550)을 예시하는 흐름도이다.
도 4c는 몇몇 실시예에 따른, 카트리지, 디바이스 또는 시스템을 제조하는 방법(600)을 예시하는 흐름도이다.
도 4d는 몇몇 실시예에 따른, 카트리지, 디바이스 또는 시스템을 사용하는 방법(650)을 예시하는 흐름도이다.
도 5는 몇몇 실시예에 따른, 통합 디바이스의 부분의 절결 사시도를 도시하고 있다.
도 6a는 몇몇 실시예에 따른, 소형 모드-로킹(mode-locked) 레이저 모듈을 포함하는 분석 기기의 블록도이다.
도 6b는 몇몇 실시예에 따른, 분석 기기에 통합된 소형 모드-로킹 레이저 모듈을 도시하고 있다.
도 6c는 몇몇 실시예에 따른, 광학 펄스의 트레인을 도시하고 있다.
도 6d는 몇몇 실시예에 따른, 하나 이상의 도파로를 통해 펄스 레이저에 의해 광학적으로 여기될 수 있는 병렬 반응 챔버의 예를 도시하고 각각의 챔버에 대한 대응 검출기를 또한 도시하고 있다.
도 6e는 몇몇 실시예에 따른, 도파로로부터 반응 챔버의 광학 여기를 도시하고 있다.
도 6f는 몇몇 실시예에 따른 통합 반응 챔버, 광학 도파로, 및 시간 비닝(time-binning) 광검출기의 추가 상세를 도시하고 있다.
도 6g는 몇몇 실시예에 따른, 반응 챔버 내에서 발생할 수 있는 생물학적 반응의 예를 도시하고 있다.
도 6h는 몇몇 실시예에 따른, 상이한 붕괴 특성을 갖는 2개의 상이한 형광단(fluorophores)에 대한 방출 확률 곡선을 도시하고 있다.
도 6i는 몇몇 실시예에 따른, 형광 방출의 시간 비닝 검출을 도시하고 있다.
도 6j는 몇몇 실시예에 따른, 시간 비닝 광검출기를 도시하고 있다.
도 6k는 몇몇 실시예에 따른, 반응 챔버로부터의 형광 방출의 펄스 여기 및 시간 비닝된 검출을 도시하고 있다.
도 6l은 몇몇 실시예에 따른, 분석물의 반복 펄스 여기 후 다양한 시간 빈에서 축적된 형광 광자 카운트의 히스토그램을 도시하고 있다.
도 6m 내지 도 6p는 몇몇 실시예에 따른, 4개의 뉴클레오티드(T, A, C, G) 또는 뉴클레오티드 유사체에 대응할 수도 있는 상이한 히스토그램을 도시하고 있다.
도 7a는 몇몇 실시예에 따른, 연동 펌프를 형성하는 장치(1000) 및 카트리지(1100)의 평면 개략도이다.
도 7b는 몇몇 실시예에 따른, 도 7a의 연동 펌프를 형성하는 장치(1000) 및 카트리지(1100)의, 도 7a의 섹션 A-A를 가리키는 화살표의 방향에서 도 7a의 섹션 A-A로부터 본 측면도 개략도이다.
도 7c는 몇몇 실시예에 따른, 도 7a의 연동 펌프를 형성하는 장치(1000) 및 카트리지(1100)의 다른 측면도 개략도이다.
도 7d는 몇몇 실시예에 따른, 도 7a의 연동 펌프를 형성하는 장치 및 카트리지(1100)의 사시도 개략도이다.
도 7e는 몇몇 실시예에 따른, 도 7a의 연동 펌프를 형성하는 장치 및 카트리지(1100)의 확대 사시도 개략도이다.
도 7f는 몇몇 실시예에 따른, 도 7a의 연동 펌프를 형성하는 장치 및 카트리지(1100)의 확대 사시 단면 개략도이다.
유체의 연동 펌핑을 위한 장치, 카트리지, 및 펌프 및 연관 방법, 시스템 및 디바이스가 일반적으로 설명된다. 유체의 펌핑은 특정 경우에, 바이오분석 애플리케이션(예를 들어, 생물학적 샘플 분석, 시퀀싱, 식별)과 같은 다양한 애플리케이션의 중요한 양태이다. 본 명세서에 설명된 발명적인 특징은 몇몇 실시예에서, 로봇 유체 취급 시스템의 특정 장점(예를 들어, 자동화, 프로그램 가능성, 구성 가능성, 유연성)을 미세유체학의 특정 장점(예를 들어, 높은 유체 분해능, 정밀도, 모놀리식 소모품, 소모품에 대한 구성요소의 습윤의 제한을 갖는 작은 유체 체적)과 조합하는 방식으로 유체를 펌핑하는 능력을 제공할 수도 있다.
몇몇 양태는 롤러(예를 들어, 크랭크 및 로커 메커니즘과 조합하여)를 포함하는 펌프 및 장치의 발명적인 구성에 관한 것이다. 다른 양태는 발명적인 단면 형상(예를 들어, 실질적으로 삼각형 형상), 밸빙, 깊은 섹션, 및/또는 표면층(예를 들어, 평탄한 엘라스토머 멤브레인)을 갖는 채널(예를 들어, 마이크로채널)을 포함하는 발명적인 카트리지에 관한 것이다. 특정 양태는 펌프의 다른 구성요소(예를 들어, 펌핑 레인)로부터 연동 펌프의 특정 구성요소(예를 들어, 롤러)의 디커플링에 관한 것이다. 몇몇 경우에, 장치의 특정 요소(예를 들어, 롤러의 에지)는 다양한 장점 중 임의의 것이 달성되는 이러한 방식으로(예를 들어, 맞물림 및 분리를 통해) 카트리지의 요소(예를 들어, 표면층 및 특정 형상의 채널)와 상호 작용하도록 구성된다. 몇몇 비한정적인 실시예에서, 본 명세서에 설명된 장치, 카트리지 및 펌프의 특정 발명적인 특징 및 구성은 유체 펌핑 프로세스의 개선된 자동화에 기여한다(예를 들어, 병진 가능한 롤러 및 롤러에 의해 인덱싱될 수 있는 다수의 상이한 유체 채널을 포함하는 별개의 카트리지의 사용으로 인해). 몇몇 경우에, 본 명세서에 설명된 발명적인 특징은 비교적 적은 수의 하드웨어 구성요소를 사용하여 비교적 많은 수의 구성을 갖는 비교적 많은 수의 상이한 유체(예를 들어, 다수의 샘플과 멀티플렉싱하기 위한)를 취급하는 능력에 기여한다(예를 들어, 그 각각이 롤러에 액세스 가능할 수도 있는 다수의 상이한 채널을 갖는 별개의 카트리지의 사용으로 인해). 일 예로서, 몇몇 경우에, 본 명세서에 설명된 발명적인 특징은 하나 초과의 장치가 카트리지와 쌍을 이루게 하여 하나 초과의 레인을 동시에 펌핑하거나 다른 기능을 위해 하나의 레인에서 2개의 펌프를 사용할 수 있게 한다. 몇몇 경우에, 발명적인 특징은 요구되는 유체 체적의 감소 및/또는 롤러/채널 상호 작용의 덜 엄격한 공차에 기여한다(예를 들어, 채널 및/또는 롤러의 에지의 발명적인 단면 형상으로 인해, 및/또는 발명적인 밸빙 및/또는 채널의 깊은 섹션의 사용으로 인해). 몇몇 경우에, 본 명세서에 설명된 발명적인 특징은 하드웨어 구성요소의 요구되는 세정의 감소를 야기한다(예를 들어, 연동 펌프의 장치와 카트리지의 디커플링으로 인해). 몇몇 실시예에서, 본 명세서에 설명된 장치, 카트리지 및 펌프의 양태는 샘플을 준비하기 위해 유용하다. 예를 들어, 몇몇 이러한 양태는 검출 모듈의 상류의 샘플 준비 모듈에 합체될 수도 있다(예를 들어, 생물학적으로 유도된 샘플의 분석/시퀀싱/식별을 위해).
몇몇 실시예에서 시스템(예를 들어, 장치, 카트리지, 디바이스 및/또는 펌프)이 제공된다. 특정 실시예에서, 본 명세서에 설명된 시스템은 미세유체학 애플리케이션에 적합하다. 특정 실시예에서, 시스템은 샘플 준비 애플리케이션에 적합하다. 특정 실시예에서, 본 명세서에 설명된 시스템은 진단 애플리케이션에 적합하다. 특정 실시예에서, 본 명세서에 설명된 시스템은 핵산 시퀀싱, 게놈 시퀀싱 및/또는 핵산 분자(예를 들어, 데옥시리보핵산(DNA) 분자) 식별에 적합하다. 특정 실시예에서, 본 명세서에 설명된 시스템은 펩타이드 시퀀싱, 단백질 시퀀싱, 펩타이드 분자 식별 및/또는 단백질 분자 식별에 적합하다. 시스템의 구성은 원하는 애플리케이션(예를 들어, 샘플 준비, 핵산 시퀀싱, 펩타이드 시퀀싱, 진단 애플리케이션)에 의존할 수도 있다. 예를 들어, 반드시 모든 경우는 아니라 몇몇 경우에, 시스템이 핵산 시퀀싱 또는 단백질 시퀀싱을 위해 구성되었는지 여부에 따라 상이한 시약 및/또는 샘플 체적이 사용될 수도 있다. 몇몇 이러한 경우에, 시약 및/또는 샘플 체적의 차이는 카트리지 내의 채널 체적 또는 저장조(예를 들어, 시약 저장조)의 체적과 같은 시스템의 하나 이상의 구성요소의 치수에 영향을 미칠 수도 있다.
전술된 바와 같이, 특정 실시예에서, 본 명세서의 시스템(예를 들어, 장치, 카트리지, 펌프, 디바이스, 모듈을 포함함)은 미세유체 애플리케이션(들), 샘플 준비 애플리케이션(들), 및/또는 진단 애플리케이션(들)을 위해 구성된다. 예를 들어, 몇몇 실시예에서, 디바이스(예를 들어, 장치, 카트리지, 연동 펌프)가 샘플 준비를 위해 사용될 수 있다. 도 1a는 몇몇 실시예에 따른, 본 명세서에 설명된 디바이스(예를 들어, 장치, 카트리지, 연동 펌프)를 포함하는 예시적인 시스템(2000)의 개략도이다. 예시적인 시스템(2000)은 몇몇 실시예에 따라, 샘플의 하나 이상의 성분을 검출하기 위해 사용될 수 있다. 몇몇 실시예에서, 시스템(2000)은 샘플 준비 모듈(1700)을 포함한다. 몇몇 실시예에서, 시스템(2000)은 샘플 준비 모듈(1700) 및 샘플 준비 모듈(1700)의 하류의 검출 모듈(1800)의 모두를 포함한다. 샘플 준비 모듈 및 검출 모듈의 예시적인 특징 및 연관 방법이 이하에 더 상세히 설명된다. 샘플 준비 모듈(1700) 및 검출 모듈(1800)은, 특정 실시예에 따라, 샘플의 적어도 일부가, 준비된 후에, 샘플 준비 모듈(1700)로부터 샘플이 검출되는(예를 들어, 분석, 시퀀싱, 식별 등) 검출 모듈(1800)로 수송될(예를 들어, 유동할)(직접적으로 또는 간접적으로) 수 있도록 구성된다.
몇몇 실시예에서, 샘플 준비 모듈은 펌프를 포함한다. 도 1a를 다시 참조하면, 몇몇 실시예에서, 샘플 준비 모듈(1700)은 예시적인 펌프(1400)를 포함한다. 몇몇 실시예에서, 펌프는 연동 펌프이다. 몇몇 이러한 펌프는 본 명세서에 설명된 유체 취급을 위한 본 발명의 구성요소 중 하나 이상을 포함한다. 예를 들어, 펌프는 장치 및/또는 카트리지를 포함할 수도 있다. 일 예로서, 도 1a에서, 예시적인 펌프(1400)는 몇몇 실시예에 따라, 장치(1200) 및 카트리지(1300)를 포함한다. 몇몇 실시예에서, 펌프의 장치는 예를 들어 도 2a에 도시되어 있고 이하에 더 상세히 설명되는 바와 같이, 롤러, 크랭크 및 로커를 포함한다. 몇몇 이러한 실시예에서, 크랭크 및 로커는 롤러에 연결된 크랭크 및 로커 메커니즘으로서 구성된다. 장치의 롤러와 크랭크 및 로커 메커니즘의 커플링은 몇몇 경우에, 본 명세서에 설명된 특정 장점이 달성될 수 있게 한다(예를 들어, 카트리지로부터 장치의 손쉬운 분리, 양호하게 계량된 스트로크 체적). 특정 실시예에서, 펌프의 카트리지는 채널(예를 들어, 미세유체 채널)을 포함한다. 몇몇 실시예에서, 카트리지의 채널 중 적어도 일부는 도 3a에 도시되어 있고 이하에 더 상세히 설명되는 바와 같이, 본 명세서에 설명된 다수의 장점 중 임의의 것에 기여할 수도 있는 특정 단면 형상 및/또는 표면층을 갖는다. 도 1a에 도시되어 있는 시스템은 예시적이고 디바이스(예를 들어, 장치, 카트리지, 펌프)에 대한 다른 구성 및 사용이 가능하다는 것이 이해되어야 한다.
본 발명자들은 종래의 미세유체 스케일로 유체를 펌핑하는 시스템(예를 들어, 주사기 펌프, 공기압 펌프, 용적식 펌핑 메커니즘, 종래의 연동 펌프, 피펫팅 로봇)이 한계를 갖는다는 것을 이해하였다. 예를 들어, 종래의 유체를 펌핑하는 시스템은 모든 하드웨어 구성요소가 각각의 샘플과 동시에 연관되도록 요구할 수도 있는데, 이는 종래의 시스템(들)을 포함하는 디바이스의 소형화를 방해할 수도 있다. 다른 예로서, 유체를 펌핑하는 종래의 시스템은 큰 헹굼 체적 및 따라서 샘플들 사이의 시스템의 긴 헹굼 시간을 요구할 수도 있는데, 이는 종래의 시스템(들)을 포함하는 디바이스를 통한 샘플(들)의 처리량을 감소시킬 수도 있다.
특정 실시예에서, 본 명세서의 장치는 습윤된 구성요소를 갖지 않아, 이들 구성요소를 헹굴 필요성을 유리하게 제거한다. 예를 들어, 본 명세서의 장치(예를 들어, 도 1a의 장치(1200))는 본 명세서의 카트리지(예를 들어, 도 1a의 카트리지(1300))와 쌍을 이룰 수도 있고, 특정 실시예에 따르면, 이 카트리지는 채널의 벽, 베이스 및/또는 표면이 습윤되고, 반면 장치가 카트리지의 비습윤 부분(들)에서 카트리지와 인터페이싱하는 유체를 포함하는 채널을 포함한다.
특정 실시예에서, 본 명세서의 장치는 사용자에게 유연성을 제공하여, 장치가 다양한 카트리지 공간과 인터페이싱하고 카트리지(들) 내의 다양한 채널과 인터페이싱할 수 있게 하는데, 이는 유리하게는 모든 하드웨어 구성요소가 각각의 샘플과 동시에 연관되어야 하는 요구 사항을 제거한다. 예를 들어, 카트리지는 사용자의 편의 및/또는 샘플의 증가된 처리량을 위해 상이한 시간에 상이한 위치로 이동할 수도 있다. 예를 들어, 하나의 카트리지는 장치 내의 다른 카트리지로 전환되거나, 장치의 다른 부분으로 이동될 수도 있다. 예를 들어, 몇몇 실시예에서, 카트리지는 제1 카트리지이고, 제1 카트리지는 제거되고 제2 카트리지로 교체될 수 있다. 다른 예로서, 본 명세서의 장치는 한 번에 하나 이상의 카트리지를 수용할 수도 있고, 장치의 적어도 일부는 카트리지 내의 상이한 위치로 또는 하나의 카트리지로부터 다른 카트리지로 쉽게 이동될 수도 있다(예를 들어, 캐리지에 의해). 카트리지는 일반적으로 특정 실시예에서, 장치를 수반하는 연동 펌핑 프로세스 동안 유체가 그를 통해 수송될 수 있는 "펌핑 레인"으로서 역할을 할 수 있는 채널을 포함하는 고체 물품을 포함한다. 장치의 구성요소(예를 들어, 롤러)와 카트리지 사이의 인터페이싱은 유체가 채널을 통과하게 할 수도 있다. 몇몇 이러한 경우에, 롤러는 카트리지가 펌프 및 유체(예를 들어, 유체 샘플)와 연관될 때 카트리지의 하나 이상의 구성요소(예를 들어, 표면층)에 물리적으로 접촉하고 힘을 인가함으로써 상호 작용한다. 부가적으로, 몇몇 실시예에서, 카트리지는 시스템으로부터 제거되고 그리고/또는 연동 펌프와 함께 하나 이상의 사용 후에 폐기될 수 있는 "소모품"으로서 작용할 수도 있다.
몇몇 경우에, 특정 이점을 제공할 수도 있는 몇몇 카트리지의 하나의 비한정적인 양태는 카트리지에 특정 단면 형상을 갖는 채널의 포함이다. 예를 들어, 몇몇 실시예에서, 카트리지는 v형 채널을 포함한다. 이러한 v형 채널을 형성하는 하나의 잠재적으로 편리하지만 비한정적인 방법은 v형 홈을 카트리지 내에 성형하거나 기계가공하는 것이다. 본 발명자들은 장치의 롤러가 카트리지와 맞물려서 채널을 통한 유체 유동을 야기하는 특정 실시예에서 v형 채널(본 명세서에서 또한 v-홈 또는 실질적으로 삼각형 형상 단면을 갖는 채널이라고도 칭함)의 포함의 장점을 인식했다. 예를 들어, 몇몇 경우에, v형 채널은 롤러에 대해 치수적으로 둔감하다. 달리 말하면, 몇몇 경우에, 장치의 롤러(예를 들어, 웨지형 롤러)가 v형 채널과 적합하게 맞물리기 위해 접착되어야 하는 단일 치수가 없다. 대조적으로, 반원형과 같은 채널의 특정 종래의 단면 형상은 롤러가 채널과 적합하게 맞물리기 위해(예를 들어, 연동 펌핑 프로세스에서 압력차를 야기하기 위한 유체 밀봉부를 생성하기 위해) 특정 치수(예를 들어, 반경)를 갖는 것을 요구할 수도 있다. 몇몇 실시예에서, 롤러에 치수적으로 둔감한 채널의 포함은 하드웨어 구성요소의 더 간단하고 덜 비싼 제조 및 증가된 구성 가능성/유연성을 야기할 수 있다.
특정 양태에서, 본 발명자들은 카트리지의 일부가 표면층(예를 들어, 평탄한 표면층)을 포함하게 하는 장점을 인식하였다. 하나의 예시적인 양태는 v-홈 위에 엘라스토머(예를 들어, 실리콘)를 포함하는(예를 들어, 본질적으로 구성되는) 멤브레인(또한 본 명세서에서 표면층이라고도 칭함)을 적층하여 사실상 유연성 튜브의 반부를 생성하는 것을 수반하는 잠재적으로 유리한 실시예에 관한 것이다. 도 3a는 특정 이러한 실시예에 따른 예시적인 카트리지(100)를 도시하고 있고, 이하에 더 상세히 설명된다. 이어서, 본 발명자들은 몇몇 실시예에서, 엘라스토머를 포함하는 표면층을 채널로 변형하여 핀치를 형성함으로써 그리고 이어서 핀치를 병진함으로써, 흡인을 생성하는 음압이 핀치의 후단 에지에 생성될 수 있고, 핀치의 선단 에지의 방향으로 유체를 펌핑하는 양압이 핀치의 선단 에지에 생성될 수 있다고 결정하였다. 특정 실시예에서, 본 발명자들은 롤러를 포함하는 장치와 카트리지(표면층을 갖는 채널을 포함함)를 인터페이싱함으로써 이러한 펌핑을 달성했으며, 이 장치는 연관 채널의 벽 및/또는 베이스로 표면층의 일부를 핀칭하기 위한 표면층의 일부를 롤러와 맞물리게 하는 것, 벽 및/또는 베이스에 대해 표면층의 핀치를 병진하기 위해 롤링 모션시에 연관 채널의 벽 및/또는 베이스를 따라 롤러를 병진하는 것, 및/또는 표면층의 제2 부분과 롤러를 분리하는 것을 포함하는 롤러의 모션을 수행하도록 구성된다. 특정 실시예에서, 본 발명자들은 롤러의 이러한 모션을 수행하기 위해 장치에 크랭크 및 로커 메커니즘을 합체하였다.
종래의 연동 펌프는 일반적으로 회전 캐리지 상에 롤러를 포함하는 장치에 삽입된 튜브를 포함하여, 튜브는 펌프가 기능함에 따라 항상 장치의 잔여부와 맞물리게 된다. 대조적으로, 특정 실시예에서, 본 명세서의 카트리지의 채널은 선형이거나 적어도 하나의 선형 부분을 포함하여, 롤러가 수평 표면과 맞물리게 된다. 특정 실시예에서, 롤러는 표면층의 일부를 연속적으로 핀칭하면서 롤러가 수평 표면을 추적할 수 있도록 스프링 로딩된 작은 롤러 아암에 연결된다. 장치(예를 들어, 장치의 롤러 아암)를 로딩하는 스프링은 몇몇 경우에 장치(예를 들어, 롤러)에 의해 카트리지의 표면층 및 채널에 인가되는 힘을 조절하는 것을 도울 수 있다.
특정 실시예에서, 롤러에 연결된 크랭크 및 로커 메커니즘에서 크랭크의 각각의 회전은 개별 펌핑 체적을 제공한다. 특정 실시예에서, 롤러가 임의의 카트리지로부터 분리되는 분리된 위치에 장치를 파킹하는 것은 간단하다. 특정 실시예에서, 전방 및 후방 펌핑 모션은 본 명세서에 설명된 장치에 의해 제공되는 바와 같이 상당히 대칭성이어서, 유사한 양의 힘(토크)(예를 들어, 10% 이내)이 전방 및 후방 펌핑 모션에 대해 요구되게 된다.
특정 실시예에서, 장치의 특정 크기에 대해, 비교적 높은 크랭크 반경(예를 들어, 2 mm 이상, 선택적으로 연관된 링크 장치를 포함함)을 갖는 것이 유리할 수도 있다. 결과적으로, 특정 실시예에서, 연관된 카트리지와 맞물리기 위해 비교적 높은 스트로크 길이(예를 들어, 10 mm 이상)를 갖는 것이 또한 유리할 수도 있다. 비교적 높은 크랭크 반경 및 스트로크 길이를 갖는 것은, 특정 실시예에서, 카트리지에 대해 장치의 구성요소를 이동할 때 장치와 카트리지 사이에 기계적 간섭이 없는 것을 보장한다.
상이한 특정 종류의 모션을 달성하기 위해 잠재적으로 사용될 수 있는 다수의 기계적 링크 장치 조합이 있지만, 본 발명자들은 크랭크 및 로커 메커니즘이 연관 카트리지와 맞물리고 분리되는 능력을 유리하게 제공한다는 것을 발견했다. 도 2a는 몇몇 실시예에 따른, 롤러(220), 크랭크(228), 및 로커(226)를 포함하는 하나의 예시적인 이러한 장치(200)의 개략도를 도시하고 있고, 이하에서 더 상세히 설명된다.
본 발명자들은 특정 실시예에서, v형 홈을 갖는 것이 유리하게는 웨지형 에지를 갖는 다양한 크기의 롤러와 함께 이용을 허용한다는 것을 인식하였다. 대조적으로, 예를 들어, v-홈이 아닌 직사각형 채널을 갖는 것은 직사각형 채널과 연관된 롤러의 폭이 직사각형 채널의 폭과 관련하여 더 제어되고 정밀해야 하는 결과를 야기하며, 직사각형 채널에 인가되는 힘이 더 정밀해야 하는 결과를 야기한다. 유사하게, 반원형 단면을 갖는 채널(들)은 또한 연관 롤러의 폭에 대해 더 제어되고 정밀한 치수를 요구할 수도 있다.
특정 실시예에서, 본 명세서에 설명된 장치는 복수의 차원(예를 들어, 2차원, 3차원)에서 장치의 적어도 일부를 이동시키도록 구성된 다축 시스템(예를 들어, 로봇)을 포함할 수도 있다. 예를 들어, 다축 시스템은 장치의 적어도 일부를 연관된 카트리지(들) 사이의 임의의 펌핑 레인 위치로 이동시키도록 구성될 수도 있다. 예를 들어, 특정 실시예에서, 본 명세서의 캐리지는 다축 시스템에 기능적으로 연결될 수도 있다. 특정 실시예에서, 롤러는 다축 시스템에 간접적으로 기능적으로 연결될 수도 있다. 특정 실시예에서, 롤러에 연결된 크랭크 및 로커 메커니즘을 포함하는 장치 부분은 다축 시스템에 기능적으로 연결될 수도 있다. 특정 실시예에서, 각각의 펌핑 레인은 위치에 의해 어드레스되고 다축 시스템을 사용하여 본 명세서에 설명된 장치에 의해 액세스될 수도 있다.
검출 모듈(예를 들어, 도 1a의 검출 모듈(1800))은 다양한 전술된 애플리케이션(예를 들어, 분석, 핵산 시퀀싱, 게놈 시퀀싱, 펩타이드 시퀀싱, 분석물 식별, 진단과 같은 바이오분석 애플리케이션) 중 임의의 것을 수행하도록 구성될 수도 있다. 예를 들어, 몇몇 실시예에서, 검출 모듈은 분석 모듈을 포함한다. 분석 모듈은 샘플 준비 모듈에 의해 준비된 샘플을 분석하도록 구성될 수도 있다. 분석 모듈은 예를 들어, 유체 샘플 내의 하나 이상의 성분의 농도를 결정하도록 구성될 수도 있다. 몇몇 실시예에서, 검출 모듈은 시퀀싱 모듈을 포함한다. 예를 들어, 도 1a를 다시 참조하면, 검출 모듈(1800)은 몇몇 실시예에 따른, 시퀀싱 모듈을 포함한다. 시퀀싱 모듈은 샘플 준비 모듈에 의해 준비된 샘플의 하나 이상의 구성요소의 시퀀싱을 수행하도록 구성될 수도 있다. 예시적인 유형의 시퀀싱은 이하에 더 상세히 설명된다. 몇몇 실시예에서, 시퀀싱은 핵산 시퀀싱을 포함한다. 시퀀싱은 데옥시리보핵산(DNA) 시퀀싱을 포함할 수도 있다. 시퀀싱은 게놈 시퀀싱을 포함할 수도 있다. 몇몇 실시예에서, 시퀀싱은 펩타이드 시퀀싱을 포함한다. 예를 들어, 시퀀싱은 단백질 시퀀싱을 포함할 수도 있다. 몇몇 실시예에서, 검출 모듈은 식별 모듈을 포함한다. 식별 모듈은 샘플 준비 모듈에 의해 준비된 샘플의 하나 이상의 성분을 식별하도록 구성될 수도 있다. 예를 들어, 식별 모듈은 핵산 분자(예를 들어, DNA 분자)를 식별하도록 구성될 수도 있다. 몇몇 실시예에서, 식별 모듈은 펩타이드 분자(예를 들어, 단백질 분자)를 식별하도록 구성된다.
도 1a는 별개의 샘플 준비 모듈(1700) 및 검출 모듈(1800)(예를 들어, 분석 모듈, 시퀀싱 모듈, 식별 모듈)을 도시하고 있지만, 샘플 준비 모듈 자체(예를 들어, 연동 펌프, 장치, 카트리지를 포함함)는 몇몇 경우에, 분석, 시퀀싱 또는 식별 프로세스를 수행하는 것이 가능할 수도 있다는 것이 이해되어야 한다. 몇몇 실시예에서, 샘플 모듈은 분석, 시퀀싱 및/또는 식별 프로세스의 조합을 수행하는 것이 가능하다. 예를 들어, 몇몇 실시예에서 펌프(예를 들어, 펌프(1400))는 특정 체적(예를 들어, 펌프 사이클당 10 μL 이하와 같은 비교적 작은 체적)의 샘플(예를 들어, 시퀀스로 및/또는 특정 유량으로)을 직접 또는 간접적으로 통합 검출기(예를 들어, 광학 또는 전기 검출기)에 전달하도록 구성되고 그리고/또는 사용될 수도 있다. 통합 검출기는 다양한 애플리케이션(예를 들어, 분석, 시퀀싱, 식별, 진단) 중 임의의 것을 수행하기 위한 측정을 수행하는 데 사용될 수도 있다. 이와 같이, 특정 실시예에서, 본 명세서에 설명된 시스템에 의해 준비된 샘플(예를 들어, 핵산, 펩타이드, 단백질, 신체 조직, 신체 분비물을 포함함)은 임의의 적합한 기계(예를 들어, 상이한 모듈 또는 동일한 모듈)를 사용하여 시퀀싱/분석될 수 있다. 특정 실시예에서, 예를 들어, 기계가 샘플의 검출(예를 들어, 시퀀싱)을 위해 최소 중지 시간을 갖고(예를 들어, 연속적으로) 사용될 수도 있도록, 샘플 준비를 위해 본 명세서에 설명된 모듈과 시스템에 의해 준비된 샘플 중 적어도 일부(예를 들어, 모두)를 검출(예를 들어, 시퀀싱)하기 위한 별개의 기계를 갖는 것이 유리할 수도 있다. 몇몇 실시예에서, 샘플 준비를 위한 모듈(예를 들어, 샘플 준비 모듈(1700))은 시스템에 의해 준비된 샘플의 적어도 일부(예를 들어, 모두)를 검출(예를 들어, 시퀀싱)하기 위한 기계(예를 들어, 검출 모듈(1800))와 유체 연결될 수도 있다. 특정 실시예에서, 샘플 준비를 위한 본 명세서에 설명된 시스템은 시스템에 의해 준비된 샘플의 적어도 일부(예를 들어, 모두)를 분석하기 위한 진단 기기와 유체 연결될 수도 있다. 특정 실시예에서, 진단 기기는 샘플의 기본 시퀀스에 기초하는 밴드 또는 색상의 존재 또는 부재에 기초하여 출력을 생성한다. 구성요소(예를 들어, 모듈, 디바이스)가 연결된(예를 들어, 기능적으로 연결된) 것으로서 설명될 때 연결부는 영구적으로 연결될 수도 있거나, 또는 연결부는 가역적으로 연결될 수도 있다는 것이 이해되어야 한다. 몇몇 경우에, 연결되는 것으로서 설명되는 구성요소는, 제1 시간 기간 동안 연결될 수도 있지만(예를 들어, 예로서 채널, 튜브, 도관을 통해 유체 연결부로) 연결될 수도 있지만, 이어서 제2 시간 기간 동안 연결되지 않을 수도 있다는(예를 들어, 유체 연결부를 디커플링함으로써) 점에서 디커플링 가능하게 연결된다. 몇몇 이러한 실시예에서, 가역적/디커플링 가능한 연결부는 수행되는 샘플 준비/분석/시퀀싱/식별의 유형에 따라, 특정 구성요소가 교체되거나 재구성될 수 있는 모듈식 시스템을 제공할 수도 있다.
본 명세서에 설명된 시스템 및 디바이스에 대한 애플리케이션은 작은 체적의 샘플을 수반하는 생물학적 분석 또는 준비를 포함하지만 이들에 한정되는 것은 아니다. 몇몇 실시예에서, 본 명세서에 설명된 디바이스는 손실이 거의 없이 수십 마이크로리터의 유체 유동 분해능으로 샘플 체적의 수송에 양호하게 적합된다. 몇몇 실시예에서, 적어도 본 명세서에 설명된 시스템의 적어도 일부(예를 들어, 크랭크 및 로커 메커니즘과 연결된 롤러를 포함하는 시스템의 일부)의 습윤된(예를 들어, 또는 그렇지 않으면 공기 또는 가스를 통해 노출된) 구성요소가 없기 때문에, 유리하게는 실행간 교차 오염의 기회가 거의 없을 수도 있다. 몇몇 실시예에서, 시약 이용은 또한 적어도 작은 채널 치수로 인해 감소되는데, 이는, 단일 사용 일회용 카트리지에 쉽게 포장될 수도 있는 시약에 대해 비교적 작은 총 체적을 사용하는 것을 용이하게 한다. 몇몇 실시예에서, 부가적으로, 샘플 및/또는 시약의 연속적인 재순환이 연동에 의해 가능할 수도 있고, 혼합 또는 교반을 수반하는 애플리케이션은 이러한 포맷으로 쉽게 변환될 수도 있다. 이들 능력을 고려하여, 본 명세서에 설명된 시스템에 대한 애플리케이션의 비한정적인 예는 중합효소 연쇄 반응(PCR), 세포 배양, 에멀젼 기반 분석, 어레이 기반 진단, 및/또는 시퀀싱 반응을 위한 시약 멀티플렉싱을 포함한다.
몇몇 실시예에서, 진단 프로세스의 프론트-엔드는 세포 배양, 혈액 또는 혈액 용해물과 같은 소스로부터의 DNA 포획 및 정제를 수반할 수도 있다. DNA 포획 및 시퀀싱은 발명적 특징이 어떻게 적용될 수도 있는지의 임의의 제한을 나타내기 위해서가 아니라 단지 명확성을 위해 본 개시내용 전체에 걸쳐 본 명세서에 설명된 발명적 양태의 예시적인 애플리케이션(예를 들어, 유체 및 관련 애플리케이션을 펌핑하기 위한 발명적 디바이스 및 방법을 수반함)으로서 사용된다는 것이 이해되어야 한다. 대신에, DNA 시퀀싱 애플리케이션이 본 명세서에 설명된 시스템 및 디바이스와 함께 설명될 때, 검출을 위한 다양한 기계 중 임의의 것을 사용하는 다양한 다른 분석 또는 시퀀싱(예를 들어, 게놈 시퀀싱, 단백질 시퀀싱, 분석물 식별 등) 중 임의의 것이 또한 고려되고 가능하다는 것이 이해되어야 한다. 진단 프로세스의 프론트-엔드의 부분으로서 DNA 포획을 수반하는 예시적인 실시예를 다시 참조하면, 포획 프로세스는 포획 표면 위로 샘플 용액의 이동, 및/또는 후속 세정 및 용리 단계를 수반할 수도 있다. 몇몇 실시예에서, DNA 포획 및 정제 단계 중 적어도 일부, 포획 표면 위로 샘플 용액의 이동, 및/또는 후속 세정 및 용리 단계는 예를 들어 5개 내지 10개 또는 5개 및 10개의 펌핑 레인을 수반하는 본 명세서에 설명된 시스템(예를 들어, 디바이스, 장치, 연동 펌프)에 의해 취급되는 유체 작업일 것이다. 용리된 DNA 샘플은 이어서 겔 기반 검출 시스템의 수성 우물로 전달될 수도 있고, 이 전달은 또한 본 명세서에 설명된 시스템에 의해 수행될 것이다. 몇몇 실시예에서, DNA 포획은 다른 겔 시스템에서 수행될 수도 있다. 몇몇 실시예에서, DNA 샘플의 전달 및 수성 우물의 세정은 본 명세서에 설명된 시스템을 사용하는 펌핑된 유체 수송을 수반한다.
몇몇 실시예에서, 진단 프로세스의 프론트-엔드는 세포 배양, 혈액 또는 혈액 용해물과 같은 소스로부터의 펩타이드(예를 들어, 단백질) 포획 및 정제를 수반할 수도 있다. 정제는 샘플 용해, 농축, 단편화 및/또는 기능화를 수반할 수도 있다. 포획 프로세스는 포획 표면(예를 들어, 펩타이드 포획 프로브를 포함함) 위로 샘플 용액의 이동, 및/또는 후속 세정 및 용리 단계를 수반할 수도 있다. 몇몇 실시예에서, 포획 및 정제 단계 중 적어도 일부, 포획 표면 위로 샘플 용액의 이동, 및/또는 후속 세정 및 용리 단계는 예를 들어 5개 내지 10개 또는 5개 및 10개의 펌핑 레인을 수반하는 본 명세서에 설명된 시스템(예를 들어, 디바이스, 장치, 연동 펌프)에 의해 취급되는 유체 작업일 것이다. 샘플의 정제된 및/또는 기능화된 펩타이드(예를 들어, 단백질)는 이어서 검출 시스템의 표면에 전달되어 고정될 수도 있는데(예를 들어, 반복적인 말단 아미노산 검출 및 절단을 통해), 이 전달은 또한 본 명세서에 설명된 시스템에 의해 수행될 것이다.
몇몇 애플리케이션은 다수의 샘플을 개별적으로 취급하기 위해 매우 많은 수의 펌프 레인이 요구할 수도 있고(예를 들어, 개별 비연결 채널을 통해) 그리고/또는 많은 수의 시약을 요구할 수도 있다. 몇몇 이러한 경우에, 부가의 병진기 축으로 구성된 시스템의 추가 비용과 복잡성이 보장될 수도 있다. 예를 들어, 몇몇 실시예에서, 캐리지의 x 및 y 모션을 위해 구성된 시스템은 펌핑 레인의 매트릭스에 대한 액세스를 허용할 것이다. 몇몇 실시예에서, z-축에서 캐리지를 회전시키기 위한 부가의 축에 대해 구성된 시스템은, 임의의 각도 배향의 레인(예를 들어, 채널 길이를 최소화하고 그리고/또는 더 효율적인 기하학적 포장을 허용하기 위해)이 액세스 가능할 것인 점에서, 더욱 더 많은 자유도를 허용할 것이다.
몇몇 실시예에서, 각각의 장치 부분이 크랭크 및 로커 메커니즘에 연결된 롤러를 포함하는 하나 초과의 장치 부분(예를 들어, 2개의 부분)을 포함하는 시스템(예를 들어, 장치, 펌프, 디바이스)이 다수의 이유로 유리할 수 있다. 예를 들어, 크랭크 및 로커 메커니즘에 연결된 롤러를 포함하는 하나 초과의 장치 부분을 포함하는 시스템을 갖는 것은, 예를 들어 다수의 개별 샘플을 취급하는 것을 수반하는 경우에, 병렬화 작업을 용이하게 할 수도 있다. 또 다른 예로서, 시약 또는 샘플의 동시 푸시-풀은 펌핑 레인당 2개의 롤러로 실행될 수 있다. 이 푸시-풀 시나리오에서, 하나의 작업에서, 크랭크 및 로커 메커니즘에 연결된 롤러를 포함하는 하나의 장치 부분이 입력 시약을 공통 채널 내로 구동할 수도 있고, 반면 다른 작업에서는, 크랭크 및 로커 메커니즘에 연결된 롤러를 포함하는 제2 동기화된 장치 부분이 동시에 공통 채널로부터 입력 시약을 견인하고 특정 출력 채널 외부로 입력 시약을 구동한다. 이 방식으로, 멀티플렉서-디멀티플렉서 시스템은 시간 지연 또는 요구되는 유지 체적 없이 촉진될 수도 있으며, 그 각각은 그렇지 않으면 2개의 시퀀싱된 단계에서 이들 작업을 수행하는 것과 연관되었을 것이다.
본 명세서에 사용될 때, "디멀티플렉서"는 단일 입력 채널을 취하고 그 내용물의 적어도 일부를 여러 출력 채널 중 하나로 구동하는 디바이스이다. 예를 들어, 내용물은 유체, 샘플 및/또는 시약을 포함할 수도 있다.
본 명세서에 사용될 때, "멀티플렉서"는 복수의 입력 채널 사이에서 선택하고 선택된 입력 채널의 내용물의 적어도 일부를 단일 출력 채널로 구동하는 디바이스이다. 예를 들어, 내용물은 유체, 샘플 및/또는 시약을 포함할 수도 있다.
도 1a는 샘플 준비 모듈(1700)의 단일 펌프(1400)를 도시하고 있지만, 샘플 준비 모듈(1700)은 다수의 펌프(1400)를 포함할 수도 있다는 것이 이해되어야 한다. 몇몇 실시예에서, 샘플 준비 모듈은 본 명세서에 설명된 바와 같이 적어도 1개, 적어도 2개, 적어도 3개, 적어도 4개, 적어도 5개, 또는 그 이상의 연동 펌프를 포함한다. 다수의 펌프가 샘플 준비 모듈에 존재하는 몇몇 실시예에서, 펌프는 직렬로(예를 들어, 유체가 제1 펌프로부터 제2 펌프로 순차적으로 수송됨) 및/또는 병렬로(예를 들어, 여기서 제1 펌프로부터 펌핑된 제1 유체 및 제2 펌프로부터 펌핑된 제2 유체가 제1 및 제2 펌프의 하류에서 조합됨) 구성될 수도 있다. 다수의 연동 펌프의 포함은 몇몇 경우에, 샘플 준비가 쉽게 확장되게 할 수도 있거나, 또는 복잡한 샘플 준비 절차 및 멀티플렉싱된 애플리케이션이 비교적 적은 수의 구성요소(예를 들어, 모터)를 포함하는 비교적 간단한 시스템으로 달성되게 할 수도 있다.
도 1a는 단일 장치(1200)를 포함하는 펌프(1400)를 도시하고 있지만, 펌프(1400)는 다수의 장치(1200)를 포함할 수도 있다는 것이 또한 이해되어야 한다. 몇몇 실시예에서, 펌프(1400)는 본 명세서에 설명된 바와 같이 적어도 1개, 적어도 2개, 적어도 3개, 적어도 4개, 적어도 5개, 또는 그 이상의 장치를 포함한다. 다수의 장치(예를 들어, 각각이 롤러 및 선택적으로 크랭크 및 로커를 포함함)의 포함은 몇몇 경우에, 다양한 장점 중 임의의 것을 허용할 수도 있다. 예를 들어, 다수의 장치의 포함은 단일 카트리지의 다수의 채널로부터 동시에(또는 상이한 시간 기간 동안) 유체를 펌핑하는 능력을 제공할 수도 있는데, 이는 몇몇 경우에, 샘플 준비 프로세스의 구성 가능성의 정도를 증가시키고 잠재적으로 복잡한 샘플 준비 절차가 신속하고 편리하게 수행되게 할 수 있다.
특정 실시예에서, 본 명세서의 디바이스(예를 들어, 장치, 카트리지, 펌프)는 양호하게 정의된 유체 유동 분해능으로, 그리고 몇몇 경우에 양호하게 정의된 유량으로 작은 체적(들)의 유체를 정확하게 수송하도록 구성된다. 몇몇 실시예에서, 본 명세서의 디바이스(예를 들어, 장치, 카트리지, 펌프)는 0.1 μL/s 이상, 0.5 μL/s 이상, 1 μL/s 이상, 2 μL/s 이상, 5 μL/s 이상, 또는 그 초과의 유량으로 유체를 수송하도록 구성된다. 몇몇 실시예에서, 본 명세서의 디바이스는 100 μL/s 이하, 75 μL/s 이하, 50 μL/s 이하, 30 μL/s 이하, 20 μL/s 이하, 15 μL/s 이하, 또는 그 미만의 유량으로 유체를 수송하도록 구성된다. 이들 범위의 조합이 가능하다. 예를 들어, 몇몇 실시예에서, 본 명세서의 디바이스는 0.1 μL/s 이상 및 100 μL/s 이하, 또는 5 μL/s 이상 및 15 μL/s 이하의 유량으로 유체를 수송하도록 구성된다. 예를 들어, 특정 실시예에서, 본 명세서의 시스템 및 디바이스는 수십 마이크로리터 또는 수백 마이크로리터 정도의 유체 유동 분해능을 갖는다. 유체 유동 분해능의 추가의 설명은 본 명세서의 다른 곳에서 설명된다. 특정 실시예에서, 여기서 시스템 및 디바이스는 카트리지의 적어도 일부를 통한 작은 체적의 유체를 수송하도록 구성된다.
본 명세서에 설명된 특징, 구성요소 및 방법, 뿐만 아니라 시스템 및 디바이스(예를 들어, 장치, 카트리지, 펌프)와 관련된 예시적인 실시예의 추가의 상세가 이제 더 상세히 제공된다.
일 양태에서, 장치가 제공된다. 몇몇 실시예에서, 장치는 롤러, 및 연결 아암에 의해 롤러에 연결된 크랭크 및 로커 메커니즘을 포함한다. 몇몇 실시예에서, 장치는 롤러, 크랭크, 로커, 및 크랭크를 로커 및 롤러에 결합하도록 구성된 연결 아암을 포함한다. 장치의 실시예는 본 명세서의 다른 곳에서 추가로 설명된다.
다른 양태에서, 카트리지가 제공된다. 몇몇 실시예에서, 카트리지는 채널을 포함하는 표면을 갖는 베이스 층을 포함하고, 채널 중 적어도 몇몇의 적어도 일부는 (1) 채널의 베이스에 단일 정점을 갖고 베이스 층의 표면에 2개의 다른 정점을 갖는 실질적으로 삼각형 형상의 단면을 갖고, (2) 채널의 표면 개구를 실질적으로 밀봉하도록 구성된 엘라스토머를 포함하는 표면층을 갖는다. 카트리지의 실시예는 본 명세서의 다른 곳에서 추가로 설명된다.
다른 양태에서, 연동 펌프가 제공된다. 몇몇 실시예에서, 연동 펌프는 롤러 및 카트리지를 포함하고, 카트리지는 채널을 포함하는 표면을 갖는 베이스 층을 포함하고, 채널 중 적어도 몇몇의 적어도 일부는 (1) 채널의 베이스에 단일 정점을 갖고 베이스 층의 표면에 2개의 다른 정점을 갖는 실질적으로 삼각형 형상의 단면을 갖고, (2) 채널의 표면 개구를 실질적으로 밀봉하도록 구성된 엘라스토머를 포함하는 표면층을 갖는다. 연동 펌프의 실시예는 본 명세서의 다른 곳에서 추가로 설명된다.
다른 양태에서, 장치를 제조하는 방법이 제공된다. 몇몇 실시예에서, 장치를 제조하는 방법은 크랭크 아암, 로커 아암 및 롤러를 연결 아암에 연결하는 단계, 및 로커 아암의 샤프트를 크랭크 아암의 샤프트에 연결하여 로커 샤프트의 회전축이 크랭크 샤프트의 회전축에 대해 고정 유지되게 하는 단계를 포함한다. 장치를 제조하는 방법의 실시예는 본 명세서의 다른 곳에서 추가로 설명된다.
다른 양태에서, 카트리지를 제조하는 방법이 제공된다. 몇몇 실시예에서, 카트리지를 제조하는 방법은 표면층을 포함하는 표면 물품을 베이스 층과 조립하여 카트리지를 형성하는 단계를 포함하고, (1) 표면층은 엘라스토머를 포함하고, (2) 베이스 층은 하나 이상의 채널을 포함하고, (3) 하나 이상의 채널 중 적어도 몇몇은 실질적으로 삼각형 형상의 단면을 갖는다. 카트리지를 제조하는 방법의 실시예는 본 명세서의 다른 곳에서 추가로 설명된다.
다른 양태에서, 펌프를 제조하는 방법이 제공된다. 몇몇 실시예에서, 펌프를 제조하는 방법은 표면층을 포함하는 표면 물품을 베이스 층과 조립하여 카트리지를 형성하는 단계, 롤러를 포함하는 장치를 조립하는 단계, 및 롤러 아래에 카트리지를 위치설정하는 단계를 포함하고, (1) 표면층은 엘라스토머를 포함하고, (2) 베이스 층은 하나 이상의 채널을 포함하고, (3) 하나 이상의 채널 중 적어도 몇몇은 실질적으로 삼각형 형상의 단면을 갖는다. 펌프를 제조하는 방법의 실시예는 본 명세서의 다른 곳에서 추가로 설명된다.
다른 양태에서, 시스템(예를 들어, 장치, 펌프 및/또는 디바이스)을 사용하는 방법이 제공된다. 몇몇 실시예에서, 시스템을 사용하는 방법은 롤러가 기판 표면과 맞물리고 그리고/또는 분리되도록 본 명세서에 설명된 장치의 크랭크를 회전시키는 단계를 포함한다. 특정 실시예에서, 롤러는 크랭크에 연결된다. 예를 들어, 특정 실시예에서, 롤러는 크랭크에 간접적으로 연결된다. 몇몇 실시예에서, 시스템을 사용하는 방법은 엘라스토머를 포함하는 표면층의 제1 부분을 유체를 포함하는 채널로 변형시켜, 표면층의 제1 부분의 내부 표면이 표면층의 제1 부분의 내부 표면에 근접한 채널의 벽 및/또는 베이스의 제1 부분에 접촉하게 하는 단계, 및 이 변형을 표면층의 제2 부분에 병진하여 표면층의 제2 부분의 내부 표면이 표면층의 제2 부분의 내부 표면에 근접한 채널의 벽 및/또는 베이스의 제2 부분에 접촉하게 하는 단계를 포함하고, 표면층은 채널의 표면 개구를 밀봉하도록 구성된다. 시스템을 사용하는 방법의 실시예는 본 명세서의 다른 곳에서 추가로 설명된다.
다른 양태에서, 장치가 제공되고, 장치는 샘플에 대해: 분석을 위한 샘플을 준비하는 것, 샘플을 분석하는 것, 및 샘플의 적어도 일부를 시퀀싱하는 것 중 적어도 하나를 수행하기 위한 것이다. 몇몇 실시예에서, 장치는 롤러 및 롤러에 연결된 크랙 및 로커 메커니즘을 포함한다. 몇몇 실시예에서, 시퀀싱은 핵산 시퀀싱(예를 들어, 데옥시리보핵산(DNA) 시퀀싱, 게놈 시퀀싱)이다. 몇몇 실시예에서 시퀀싱은 펩타이드(예를 들어, 단백질) 분자 시퀀싱이다.
다른 양태에서, 방법이 제공되고, 방법은 샘플에 대해: 분석을 위한 샘플을 준비하는 것, 샘플을 분석하는 것, 및 샘플의 적어도 일부를 시퀀싱하는 것 중 적어도 하나를 수행하기 위해 장치를 사용하는 단계를 포함한다. 몇몇 실시예에서, 장치는 롤러 및 롤러에 연결된 크랙 및 로커 메커니즘을 포함한다. 몇몇 실시예에서, 시퀀싱은 핵산 시퀀싱(예를 들어, 데옥시리보핵산(DNA) 시퀀싱, 게놈 시퀀싱)이다. 몇몇 실시예에서 시퀀싱은 펩타이드(예를 들어, 단백질) 분자 시퀀싱이다.
다른 양태에서, 시스템이 제공된다. 몇몇 실시예에서 시스템은 샘플 준비 모듈을 포함한다. 몇몇 실시예에서, 샘플 준비 모듈은 본 명세서에 설명된 바와 같이, 연동 펌프를 포함한다. 몇몇 실시예에서, 연동 펌프는 롤러를 포함하는 장치를 포함하고, 연동 펌프는 카트리지를 또한 포함한다. 몇몇 실시예에서, 시스템은 샘플 준비 모듈의 하류에 검출 모듈을 포함한다.
몇몇 실시예에서 시스템은 샘플 준비 모듈을 포함한다. 몇몇 실시예에서, 샘플 준비 모듈은 본 명세서에 설명된 바와 같이, 연동 펌프를 포함한다. 몇몇 실시예에서, 연동 펌프는 롤러 및 롤러에 연결된 크랭크 및 로커 메커니즘을 포함하는 장치를 포함한다. 몇몇 실시예에서, 시스템은 샘플 준비 모듈의 하류에 검출 모듈을 포함한다.
몇몇 실시예에서 시스템은 샘플 준비 모듈을 포함한다. 몇몇 실시예에서, 샘플 준비 모듈은 본 명세서에 설명된 바와 같이, 연동 펌프를 포함한다. 몇몇 실시예에서, 연동 펌프는 채널을 포함하는 표면을 갖는 베이스 층을 포함하는 카트리지를 포함하고, 채널 중 적어도 몇몇의 적어도 일부는 채널의 베이스에 단일 정점을 갖고 베이스 층의 표면에 2개의 다른 정점을 갖는 실질적으로 삼각형 형상의 단면을 갖는다. 몇몇 실시예에서, 시스템은 샘플 준비 모듈의 하류에 검출 모듈을 포함한다.
다른 양태에서, 방법이 제공된다. 몇몇 실시예에서, 방법은 연동 펌프를 사용하여 샘플의 적어도 일부를 제1 모듈로부터 제2 모듈로 유동시키는 단계를 포함한다. 몇몇 실시예에서, 연동 펌프는 장치를 포함하고, 몇몇 실시예에서 연동 펌프는 카트리지를 포함한다. 몇몇 이러한 실시예에서, 제1 모듈은 샘플 준비 모듈을 포함한다. 몇몇 이러한 실시예에서, 제2 모듈은 검출 모듈을 포함한다. 예를 들어, 몇몇 실시예에서, 방법은 연동 펌프를 사용하여 샘플 준비 모듈로부터 검출 모듈로 샘플의 적어도 일부를 유동시키는 단계를 포함한다.
다른 양태에서, 방법이 제공된다. 몇몇 실시예에서, 방법은 연동 펌프를 사용하여 샘플의 적어도 일부를 제1 모듈로부터 제2 모듈로 유동시키는 단계를 포함한다. 몇몇 실시예에서, 연동 펌프는 롤러 및 롤러에 연결된 크랭크 및 로커 메커니즘을 포함하는 장치를 포함한다. 몇몇 이러한 실시예에서, 제1 모듈은 샘플 준비 모듈을 포함한다. 몇몇 이러한 실시예에서, 제2 모듈은 검출 모듈을 포함한다. 예를 들어, 몇몇 실시예에서, 방법은 연동 펌프를 사용하여 샘플 준비 모듈로부터 검출 모듈로 샘플의 적어도 일부를 유동시키는 단계를 포함한다.
다른 양태에서, 방법이 제공된다. 몇몇 실시예에서, 방법은 연동 펌프를 사용하여 샘플의 적어도 일부를 제1 모듈로부터 제2 모듈로 유동시키는 단계를 포함한다. 몇몇 실시예에서, 연동 펌프는 채널을 포함하는 표면을 갖는 베이스 층을 포함하는 카트리지를 포함하고, 채널 중 적어도 몇몇의 적어도 일부는 채널의 베이스에 단일 정점을 갖고 베이스 층의 표면에 2개의 다른 정점을 갖는 실질적으로 삼각형 형상의 단면을 갖는다. 몇몇 이러한 실시예에서, 제1 모듈은 샘플 준비 모듈을 포함한다. 몇몇 이러한 실시예에서, 제2 모듈은 검출 모듈을 포함한다. 예를 들어, 몇몇 실시예에서, 방법은 연동 펌프를 사용하여 샘플 준비 모듈로부터 검출 모듈로 샘플의 적어도 일부를 유동시키는 단계를 포함한다.
일 양태에서, 장치가 제공된다. 도 2a는 몇몇 실시예에 따른, 장치(200)의 측면도의 개략도이다. 본 개시내용은 본 명세서에 설명되고 도시되어 있는 단지 이들 특정 실시예에만 한정되지 않는다는 것이 이해되어야 한다. 대신에, 본 개시내용이 이와 같이 한정되는 것은 아니기 때문에, 다양한 개시된 구성요소, 특징 및 방법이 임의의 적합한 조합으로 배열될 수도 있다.
몇몇 실시예에서, 장치는 롤러를 포함한다. 예를 들어, 도 2a에서, 도시되어 있는 장치(200)는 롤러(220)를 포함한다. 몇몇 실시예에서, 롤러는 웨지 형상을 갖는 에지를 포함한다. 도 2a를 다시 참조하면, 몇몇 실시예에서, 롤러(220)는 웨지 형상을 갖는 롤러(220)의 회전축(예를 들어, 도 2b의 221)에 대해 말단측인 에지(예를 들어, 도 2b의 233)를 포함한다.
본 명세서에 사용될 때, 용어 "롤러"는 통상의 기술자에 의해 이해될 것이고 중심 회전축 및 회전축에 실질적으로 수직인 평면에서 실질적으로 원형 단면을 갖는 기계적 구성요소를 칭할 수도 있다. 예를 들어, 롤러는 중심 회전축(예를 들어, 221)을 가질 수도 있다. 도 2b는 몇몇 실시예에 따른, 회전축(221)을 갖는 평면내 롤러(220)의 단면도의 개략도이다. 몇몇 실시예에서, 롤러는 엘라스토머를 포함한다.
몇몇 실시예에서, 장치는 크랭크를 포함한다. 몇몇 실시예에서, 크랭크는 크랭크 및 로커 메커니즘의 구성요소이다. 크랭크 및 로커 메커니즘은 아암에 의해 장치의 롤러에 연결될 수도 있다. 예를 들어, 도 2a를 다시 참조하면, 도시되어 있는 장치(200)는 특정 실시예에 따르면, 연결 아암(224)에 의해 롤러(220)에 연결된 크랭크 및 로커 메커니즘(230)을 포함한다. 본 명세서에 사용될 때, 용어 "크랭크 및 로커 메커니즘"은 함께 연결되고 크랭크 및 로커를 포함하는 적어도 하나의 구성요소로부터 적어도 하나의 다른 구성요소로 모션을 부여하도록 구성된 복수의 기계적 구성요소를 칭한다.
본 명세서에 사용될 때, 용어 "크랭크"는 통상의 기술자에 의해 이해될 것이고 회전하도록 구성되고 회전축을 정의하는 샤프트, 및 샤프트에 부착된 아암을 갖는 기계적 구성요소를 칭할 수도 있고, 샤프트는 또한 아암이라 칭하는 굴곡된 부분을 포함하고, 아암의 길이를 따른 축은 샤프트의 회전축에 수직이다. 몇몇 실시예에서, 크랭크의 샤프트는 모터가 크랭크의 회전을 구동하도록 동작 가능한 구성으로 모터에 연결된다. 특정 실시예에서, 시스템(예를 들어, 장치, 펌프, 및/또는 디바이스)은 모터가 크랭크의 회전을 구동하도록 동작 가능한 구성으로 크랭크의 샤프트에 연결된 모터를 포함한다. 예를 들어, 크랭크는 완전 360도 회전하도록 구성되고 회전축(예를 들어, 회전축(235))을 정의하는 샤프트를 가질 수도 있다.
본 명세서에 사용될 때, 용어 "아암"은 통상의 기술자에 의해 이해될 것이고, 하나 이상의 다른 대응 기계적 구성요소와 연결하도록 구성된 하나 이상의 부분을 갖는 기계적 구성요소를 칭할 수도 있고, 적어도 하나의 연결부는 적어도 하나의 다른 대응 연결된 기계적 구성요소에 대한 회전축 주위의 아암의 회전 또는 그 반대의 경우를 위해 구성되고, 아암의 길이를 따른 축은 회전축에 수직이다. 예를 들어, 아암은 강성 기계적 구성요소일 수도 있다.
몇몇 실시예에서, 장치는 모터를 포함한다. 몇몇 실시예에서, 모터는 모터가 크랭크의 회전을 구동하도록 동작 가능한 구성으로 크랭크의 샤프트에 연결된다(예를 들어, 직접 연결되거나 간접적으로 연결됨).
본 명세서에 사용될 때, 제1 기계적 구성요소는 제2 기계적 구성요소에 "간접적으로 연결"되고, 여기서 제1 기계적 구성요소를 제2 기계적 구성요소에 연결하는 하나 이상의 개재 기계적 구성요소(들)가 존재한다.
몇몇 실시예에서, 장치는 로커를 포함한다. 예를 들어, 도 2a를 다시 참조하면, 장치(200)는 몇몇 실시예에 따라, 로커(226)를 포함한다. 몇몇 실시예에서, 로커의 샤프트("로커 샤프트")는 로커 샤프트의 회전축이 예를 들어 크랭크 및 로커의 회전 중에, 크랭크 샤프트의 회전축에 대해 고정 유지되도록 크랭크의 샤프트("크랭크 샤프트")에 연결된다. 몇몇 이러한 경우에, 로커의 샤프트와 크랭크의 샤프트는 로커 샤프트의 회전축이 크랭크 샤프트의 회전축에 평행하고 그에 대해 고정 유지되도록 연결된다. 제1 샤프트가 제2 샤프트에 연결된다는 것은 연결이 오히려 간접적일 수도 있기 때문에, 제1 샤프트가 제2 샤프트와 직접 접촉한다는 것을 암시할 필요는 없다. 몇몇 실시예에서, 로커의 샤프트는 로커 샤프트의 회전축이 크랭크 샤프트의 회전축에 대해 고정 유지되도록 하나 이상의 기계적 구성요소를 통해 크랭크의 샤프트에 연결된다. 로커 샤프트와 크랭크 샤프트가 연결되는 하나 이상의 기계적 구성요소는, 예를 들어, 고체 물품(또는 서로에 대해 고정되는 다수의 고체 물품)을 포함할 수 있다. 고체 물체는 로커 샤프트와 크랭크 샤프트의 각각에 부착된 별개의 개별 구성요소일 수도 있거나, 고체 물체는 로커 샤프트 및 크랭크 샤프트에 대해 모놀리식일 수도 있다. 몇몇 경우에, 하나 이상의 기계적 구성요소는 다른 연결 아암을 포함한다. 특정 예로서, 로커의 샤프트는 캐리지를 포함하는 하나 이상의 기계적 구성요소를 통해 크랭크의 샤프트에 연결될 수도 있다. 도 2a에 도시되어 있는 예시적인 실시예에서, 크랭크 및 로커 메커니즘(230)은 특정 실시예에 따라, 회전축(235)을 갖는 크랭크(228) 및 회전축(237)을 갖는 로커(226)를 포함한다. 몇몇 경우에, 회전축(237)을 정의하는 로커(226)의 샤프트는 회전축(235)을 정의하는 크랭크(228)의 샤프트에 연결(예를 들어, 간접적으로 연결)되어 로커(226)의 샤프트가 크랭크(228)의 샤프트에 대해 고정되어 유지되게 된다. 이하에 더 상세히 설명되는 특정 예로서, 도 7d는 로커(1026)의 샤프트의 회전축과 크랭크(1028)의 샤프트의 축이 서로에 대해 고정 유지되도록 캐리지(1044)를 통해 크랭크(1028)의 회전축을 정의하는 샤프트에 연결된 로커(1026)의 회전축을 정의하는 샤프트를 도시하고 있다. 몇몇 실시예에서, 장치(200)는 크랭크(228) 및/또는 로커(226)의 회전이 수평 축방향(231) 및/또는 수직 축방향(229)을 따라 롤러(220)의 모션을 구동하도록 구성된다.
본 명세서에 사용될 때, 용어 "로커"는 통상의 기술자에 의해 이해될 것이고, 회전축을 정의하고 0도 내지 180도, 0도 이상 및 180도 미만, 또는 0도 초과 90도 이하의 제한된 각도 범위를 통해 회전하도록 구성된 샤프트; 및 샤프트에 부착된 아암을 갖는 기계적 구성요소를 칭할 수도 있고, 또는 샤프트는 또한 아암이라 칭하는 굴곡된 부분을 포함하고, 아암의 길이를 따른 축은 샤프트의 회전축에 수직이다. 예를 들어, 로커는 회전축(예를 들어, 회전축(237))을 정의하는 샤프트를 포함할 수도 있다.
전술된 바와 같이, 몇몇 실시예에서, 장치는 크랭크 및 로커 메커니즘을 포함한다. 몇몇 실시예에서, 크랭크 및 로커 메커니즘은 예를 들어 연결 아암에 의해 롤러에 연결된다. 더 구체적으로, 몇몇 실시예에서, 연결 아암은 크랭크를 로커 및 롤러에 결합하도록 구성된다. 도 2a를 다시 참조하면, 몇몇 실시예에서, 연결 아암(224)은 크랭크(228)를 로커(226) 및 롤러(220)에 결합하도록 구성된다. 몇몇 실시예에서, 연결 아암은 크랭크 및 로커 메커니즘의 구성요소이다.
몇몇 실시예에서, 장치는 롤러 아암을 포함한다. 몇몇 실시예에서, 롤러 아암은 롤러를 연결 아암에 결합하도록 구성된다. 도 2a를 다시 참조하면, 몇몇 실시예에서, 장치(200)는 롤러(220)를 연결 아암(224)에 결합하도록 구성된 롤러 아암(222)을 더 포함한다.
몇몇 실시예에서, 장치는 힌지를 포함한다. 몇몇 실시예에서, 힌지는 롤러 아암을 연결 아암에 결합하도록 구성된다. 예를 들어, 도 2a에서, 예시적인 장치(200)는 몇몇 실시예에 따른, 롤러 아암(222)을 연결 아암(224)에 결합하도록 구성된 힌지(225)를 더 포함한다. 몇몇 실시예에서, 힌지는 스프링을 포함한다. 예로서, 도 2a를 참조하면, 몇몇 실시예에서, 힌지(225)는 스프링(227)을 포함한다.
몇몇 실시예에서, 장치는 병진기 나사 및/또는 병진기 로드를 포함한다. 몇몇 실시예에서, 로커의 샤프트는 로커 샤프트의 회전축이 고정 유지되고 병진기 나사의 길이를 따라 중심축 및/또는 병진기 로드의 길이를 따라 중심축에 대해 평행하게 유지되도록 병진기 나사 및/또는 병진기 로드에 연결된다.
몇몇 실시예에서, 장치는 모터를 포함한다. 몇몇 실시예에서, 모터는 모터가 병진기 나사의 회전을 구동하도록 동작 가능한 구성으로 병진기 나사에 연결된다.
몇몇 실시예에서 장치는 캐리지를 포함한다. 몇몇 실시예에서, 캐리지는 로커의 샤프트(및/또는 크랭크의 샤프트)를 병진기 나사 및/또는 병진기 로드에 연결한다. 몇몇 실시예에서, 캐리지는 로커의 샤프트와 크랭크의 샤프트를 서로로부터 고정된 거리에 유지한다.
본 명세서에 사용될 때, 용어 "캐리지"는 통상의 기술자에 의해 이해될 것이고, 하나 이상의 물품을 하나 이상의 차원에서 병진하도록 구성된 하나 이상의 기계적 구성요소를 칭할 수도 있다. 예를 들어, 캐리지는 하나 이상의 차원(예를 들어, 1, 2 또는 3차원)에서 하나 이상의 물품(예를 들어, 하나 이상의 다른 기계적 구성요소)을 병진하도록 구성된 하나 이상의 기계적 구성요소를 포함할 수도 있다.
몇몇 실시예에서, 병진기 나사의 구동 회전은 캐리지를 1차원으로 병진시킨다.
몇몇 실시예에서, 장치의 기계적 구성요소(예를 들어, 롤러, 크랭크, 로커, 연결 아암, 롤러 아암)는 힌지 또는 다른 및/또는 부가의 부착 수단에 의해 장치의 하나 이상의 다른 기계적 구성요소, 몇몇 연결부 또는 각각의 연결부에 직접 또는 간접적으로 연결된다.
몇몇 실시예에서, 장치의 기계적 구성요소(예를 들어, 롤러, 크랭크, 로커, 연결 아암, 롤러 아암)는 2개 이상의 대응 힌지에 의해 장치의 2개 이상의 다른 기계적 구성요소를 결합하도록 구성된다.
본 명세서에 사용될 때, 용어 "결합" 또는 "연결"은 통상의 기술자에 의해 이해될 것이고, 2개 이상의 기계적 구성요소를 직접 또는 간접적으로 결합 또는 연결하는 것을 칭할 수도 있다. 예를 들어, 2개 이상의 기계적 구성요소는 하나 이상의 힌지 및 하나 이상의 부가의 기계적 구성요소에 의해 직접 또는 간접적으로 결합될 수도 있다.
몇몇 실시예에서, 본 명세서에 설명된 시스템(예를 들어, 장치, 펌프, 디바이스)은 펌프 사이클을 경험한다. 몇몇 실시예에서, 펌프 사이클은 시스템의 크랭크의 1회전에 대응한다. 몇몇 실시예에서, 각각의 펌프 사이클은 1 μL 이상, 2 μL 이상, 4 μL 이상, 10 μL 이하, 8 μL 이하, 및/또는 6 μL 이하의 유체를 수송할 수도 있다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 1 μL 내지 10 μL 또는 1 μL 및 10 μL). 유체의 체적의 다른 범위가 또한 가능하다.
몇몇 실시예에서, 본 명세서에 설명된 시스템은 특정 스트로크 길이를 갖는다. 특정 실시예에서, 각각의 펌프 사이클이 1 μL 내지 10 μL 정도의 유체를 수송할 수도 있고 그리고/또는 채널 치수가 바람직하게는 1 mm 정도의 폭 및 1 mm 정도의 깊이일 수도 있으면(예를 들어, 채널 체적을 감소시키고 적당한 공차를 유지하기 위해 기계가공 또는 성형될 수 있는지에 따라), 스트로크 길이는 10 mm 이상, 12 mm 이상, 14 mm 이상, 20 mm 이하, 18 mm 이하, 및/또는 16 mm 이하일 수도 있다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 10 mm 내지 20 mm 또는 10 mm 및 20 mm). 다른 범위가 또한 가능하다.
본 명세서에 사용될 때, "스트로크 길이"는 기판과 맞물리는 동안 롤러가 이동하는 거리를 칭한다. 특정 실시예에서, 기판은 카트리지를 포함한다.
유체 유동 분해능과 관련하여, 몇몇 실시예에서, 본 명세서에 설명된 애플리케이션(예를 들어, DNA 샘플 준비 및 유사한 분석)의 경우, 적어도 총 유체 체적(예를 들어, 소비된 유체 체적, 전달된 유체 체적 등)에서 낮은 백분율 오류를 제공하기 위해 수 마이크로리터의 샘플 또는 시약 용액의 변위가 요구될 수도 있다. 특정 실시예에서, 수 마이크로리터 정도의 유체 유동 분해능은 시스템(예를 들어, 카트리지, 장치, 디바이스, 펌프) 구성요소에 대한 통상의 제조 프로세스로 가능하다. 특정 실시예에서, 크랭크 반경, 채널 치수 및/또는 롤러 치수는 유체 유동 분해능을 결정하는 데 독립적으로 기여한다.
특정 실시예에서, 본 명세서에 설명된 시스템 및 디바이스의 기계적 구성요소의 모든 치수는 확대될 수도 있어(예를 들어, 2, 3, 4, 5배, 이상), 유체 유동 분해능이 유사하게 스케일링되어 펌프당 훨씬 더 큰 체적을 용이하게 한다.
특정 실시예에서, 스트로크 길이는 본 명세서에 설명된 시스템의 대응 크랭크의 반경에 직접 관련되고, 따라서 크랭크 반경은 스트로크 길이와 유사한 차수가 될 수도 있다. 몇몇 실시예에서 더 작은 크랭크 길이(본 명세서에서 또한 크랭크 반경이라고도 칭함)는 더 높은 유체 유동 분해능(크랭크의 회전당 펌핑되는 유체의 더 작은 체적)을 용이하게 하지만, 다른 한편으로는 채널과의 대응 롤러의 맞물림 및 분리의 위치에 관련된 공차는 더 작은 크랭크 길이에 대해 더 좁아질 수도 있다. 몇몇 실시예에서, 크랭크 길이는 대응 롤러의 수직 이동 거리를 결정하는 데 기여하는데, 이는 롤러를 포함하는 시스템의 일부가 채널로부터 채널로 병진될 때 롤러와 대응 카트리지 표면 사이의 간극에 중요할 수도 있다. 특정 실시예에서, 적어도 밀봉 플레이트의 높이 때문에, 적어도 수 mm의 간극이 필요할 수도 있고, 따라서 동일한 크기(수 mm)의 크랭크 반경이 요구될 수도 있다. 특정 실시예에서, 크랭크 반경은 2 mm 이상, 4 mm 이상, 6 mm 이상, 8 mm 이상, 10 mm 이상, 12 mm 이상, 14 mm 이상, 20 mm 이하, 18 mm 이하, 및/또는 16 mm 이하의 정도일 수도 있다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 2 mm 내지 20 mm 또는 2 mm 및 20 mm). 다른 범위가 또한 가능하다.
특정 실시예에서, 절반 크랭크 회전 또는 크랭크 사이클의 분리된 부분을 고려하는 경우 완전 크랭크 회전에 의해 본 명세서에 설명된 시스템의 "완전 펌프 사이클"을 식별할 수 있다. 특정 실시예에서, 유체 역학 관련 결과가 있을 수도 있지만, 중간-스트로크에서 정지된(예를 들어, 정지된 및 이후에 역전된) 크랭크가 회전당 유체 유동 분해능을 감소시키기 위한 수단으로서 가능하다. 몇몇 실시예에서, 시스템의 크랭크의 스트로크에 대한 정지 및 역전 프로세스는 연관 채널의 밸브가 역전 스트로크에서 재폐쇄되게 하여 역류를 방지할 수도 있다(예를 들어, 체크 밸브와 유사함). 몇몇 실시예에서, 시스템은 유체 유동 분해능을 증가시키기 위해 부분 스트로크를 달성하기 위해 임의의 위치에서 연관 채널로부터 시스템의 롤러를 맞물림 및 분리하기 위해 더 많은 자유도(예를 들어, 부가의 모터 등에 의해 제공됨)를 포함할 수도 있다. 그러나, 몇몇 이러한 실시예에서, 롤러 맞물림 및 분리 위치와 관련된 공차가 여전히 작용할 수도 있고, 시스템의 추가 복잡성에 의해 악화될 수도 있다. 몇몇 실시예에서, 제어 시스템과 함께 스트로크 길이 또는 펌핑된 체적을 측정할 수도 있는 능력을 갖는 다른 추가 구성요소(들)로, 매우 정밀한 임의의 체적이 펌핑될 수도 있다. 몇몇 이러한 실시예에서, 시스템의 모터(들)(예를 들어, 스테퍼 모터)의 위치설정 분해능은 유체 유동 분해능을 결정하는 요인이 될 수도 있다.
특정 실시예에서, 본 명세서에 설명된 시스템에서 완전 펌프 사이클을 통한 롤러 경로는 정확히 타원형이 아니다. 특정 실시예에서, 기판(예를 들어, 카트리지)과 롤러의 맞물림 및 분리 지점은 롤러 경로 및 다른 기하학적 제약을 받는다. 특정 실시예에서, 스트로크 길이는 크랭크 반경의 대략 2배에 가깝게 근사될 수도 있다. 특정 실시예에서, 시스템의 채널 치수가 주어지면, 1 mm의 스트로크당 대략 0.6 μL의 유체가 펌핑되고, 여기서 0.6 μL은 수직 대칭 라인을 갖는 대칭성 삼각형 형상 v-홈의 경우 (채널 폭의 절반)*(v-홈에 대한 채널 깊이)*(1 mm)에 의해 결정된다. 특정 실시예에서, 채널은 채널의 대응 부분의 표면층의 일시적 밀봉부의 시작점을 정의하는 깊은 섹션(예를 들어, 채널이 적어도 몇몇 단면에서 본 명세서에 설명된 제2 부분을 갖는 경우)을 포함한다. 깊은 섹션에 의해 정의된 시작점의 위치는, 스트로크 체적의 어느 분율이 유체 수송을 위해 이용되도록 요구되는지에 따라, 채널을 따른 임의의 지점에 있을 수 있다. 깊은 섹션에 의해 정의된 시작점은 스트로크 체적의 비교적 작은 분율이 이용되도록 위치될 수도 있다. 예를 들어, 몇몇 이러한 경우에, 스트로크의 약 절반만이 이용되도록 시작점이 위치된다. 몇몇 이러한 실시예에서, 약 6 μL의 유체 유동 분해능이 달성된다. 특정 실시예에서, 본 명세서에 설명된 시스템의 유체 유동 분해능(Vres)은 시스템의 크랭크의 반경(Rcrank)에 대응 채널의 폭(Wchannel)의 절반을 곱한 값에 채널의 깊이(Dchannel)를 곱한 값: Vres
Figure pct00001
Rcrank * 0.5 Wchannel * Dchannel로 근사될 수도 있다.
특정 실시예에서, 채널은 펌핑된 섹션의 양 측면에 하나씩 있는 깊은 섹션을 포함한다. 몇몇 이러한 실시예에서, 유체 유동 분해능 또는 펌프 사이클당 체적은 펌프 스트로크가 펌핑된 섹션과 맞물리도록 충분히 긴 경우, 채널 치수에 완전히 의존한다. 몇몇 이러한 실시예에서, 또는 깊은 비밀봉 섹션을 포함하는 임의의 다른 실시예에서, 총 채널 체적이 불리하게 증가될 수도 있다. 특정 실시예에서, 이러한 증가된 총 채널 체적은 샘플 또는 시약이 통과하는지 여부에 따라, 깨끗해지거나 더 철저히 세정되어야 할 수도 있는 더 많은 체적을 야기한다. 또한, 증가된 총 채널 체적을 갖는 몇몇 실시예에서, 연관된 연동 펌핑 메커니즘은, 특히 공기 펌핑의 경우, 적어도 압축비(분리시 대응 체적에 대한 맞물림시 밸브와 롤러 위치 사이의 체적의 비)가 감소되기 때문에, 약간 더 적은 압력을 발생시킨다. 특정 실시예에서, 감소된 압축비는 펌프 사이클에서 밸브를 개방하는 시스템의 능력을 불리하게 감소시킬 수도 있다.
몇몇 실시예에서, 기계적 관점으로부터, 본 명세서에 설명된 시스템의 로커의 길이는 이론적으로 무한하여, 그 단부에서 완벽한 선형 모션을 생성할 수도 있다. 특정 실시예에서, 적어도 소형화를 보존하기 위해, 시스템의 로커의 길이는 시스템의 하나 이상의 대응하는 전체 크기 결정 구성요소(예를 들어, 모터, 장착 브래킷, 나사, 베어링 포켓, 및 심지어 롤러 아암 자체)의 크기와 유사하다. 시스템의 로커의 길이는 수십 mm 정도일 수도 있다. 예를 들어, 시스템의 로커의 길이는 15 mm 이상, 20 mm 이상, 25 mm 이상, 40 mm 이하, 35 mm 이하, 및/또는 30 mm 이하일 수도 있다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 15 mm 내지 40 mm 또는 15 mm 및 40 mm). 다른 범위가 또한 가능하다.
몇몇 실시예에서, 본 명세서에 설명된 시스템의 연결 아암의 길이는 적어도 대응 크랭크의 반경만큼 길고, 통상적으로 적어도 롤러 아암 및 연관 스프링 메커니즘을 수용하기 위해 크랭크 반경보다 길 수도 있다. 몇몇 실시예에서, 연결 아암 길이는 적어도 완전 회전에서 크랭크의 이동을 허용하기 위해, 적어도 크랭크 반경만큼 크다. 특정 실시예에서, 연결 아암 길이는 대응 롤러 아암 메커니즘(예를 들어, 스프링, 베어링 등)을 포함할 뿐만 아니라 완전 회전으로 크랭크의 이동을 허용하기에 충분히 크다. 소형화를 위해, 연결 아암 길이는 시스템의 다른 전체 크기 결정 기계 구성요소의 치수를 초과하지 않는다.
특정 실시예에서, 롤러 아암은 크랭크 샤프트를 넘어 롤러를 연장할 만큼 길지 않다(이 경우 롤러는 수평으로 압축된 타원형 경로를 취할 것임). 특정 실시예에서, 롤러 아암 길이는, 일단 대응 롤러가 채널과 맞물리기 시작하면 다운 스트로크 모션에서 대응 연결 아암의 수직 이동을 흡수하기에 충분히 크고, 따라서 크랭크 반경의 몇몇 상당한 분율(예를 들어, 0.4 이상, 0.6 이상, 0.8 이상, 1.0 이하, 0.9 이하, 0.4 내지 1.0 또는 0.4 및 1.0, 이들 범위의 다른 조합, 다른 범위)이 롤러 아암의 길이에 적절할 수도 있다. 특정 실시예에서, 롤러 아암 길이는 4 mm 이상, 5 mm 이상, 6 mm 이상, 20 mm 이하, 18 mm 이하, 및/또는 16 mm 이하의 정도일 수도 있다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 4 mm 내지 20 mm 또는 4 mm 및 20 mm). 다른 범위가 또한 가능하다. 특정 실시예에서, 연결 아암에 대한 제약이 주어지면, 롤러 아암 길이는 바람직하게는 10 mm 내지 20 mm 또는 10 mm 및 20 mm의 정도일 수도 있다. 특정 실시예에서, 시스템의 다른 기계적 구성요소의 치수 제약 내에서 가능한 한 긴 롤러 아암을 갖는 것이 유리할 수도 있다. 특정 실시예에서, 적어도 채널과의 맞물림 동안 롤러의 선형 수직 이동을 근사하기 위해, 롤러 아암은 롤러 반경에 비교하여 길다. 예를 들어, 롤러 아암은 2배 이상, 3배 이상, 4배 이상, 7배 이하, 6배 이하, 및/또는 5배 이하일 수도 있다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 2배 내지 7배 또는 2배 및 7배). 롤러 반경의 배수의 다른 범위가 또한 가능하다.
특정 실시예에서, 본 명세서에 설명된 시스템의 롤러의 반경은 대응 채널의 깊이(예를 들어, 1 mm 정도)보다 더 크다(예를 들어, 상당히 더 큼). 롤러 반경은 적어도 롤러의 웨지가 엘라스토머를 포함하는 표면층의 대응 부분을 채널로 변형함으로써 채널에 완전히 액세스하고 밀봉할 수도 있도록 채널의 깊이(예를 들어, 1 mm 정도)보다 클 수도 있다(예를 들어, 상당히 큼). 특정 실시예에서, 롤러의 액슬(예를 들어, 직경 3 mm의 숄더 나사)은 대응하는 카트리지의 밀봉 플레이트의 표면을 세정할 수도 있으며, 밀봉 판은 채널 표면 위의 2 mm 정도일 수도 있다. 적어도 이러한 이유로, 특정 실시예에서, 롤러 반경은 밀봉 플레이트의 표면 위로 액슬을 상승시키기에 충분히 크다. 이에 따라, 특정 실시예에서, 롤러 반경은 4.5 mm 이상이다. 특정 실시예에서, 숄더 나사의 헤드 직경과 같은 액슬/베어링 메커니즘의 다른 실제 제한을 고려하면, 롤러 반경은 5 mm 이상일 수도 있다. 특정 실시예에서, 임의의 다른 구성요소보다 훨씬 더 큰 롤러는 비실용적이고 덜 소형일 수도 있으며, 부가적으로 시스템의 유체 유동 분해능을 감소시킬 수도 있고, 롤러의 채널 맞물림 및 분리의 정밀한 위치가 덜 양호하게 정의되는 데 기여할 수도 있다. 이에 따라, 특정 실시예에서, 롤러 반경은 4.5 mm 이상, 5 mm 이상, 10 mm 이상, 20 mm 이하, 16 mm 이하, 및/또는 12 mm 이하이다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 4.5 mm 내지 20 mm 또는 4.5 mm 및 20 mm). 다른 범위가 또한 가능하다.
특정 실시예에서, 롤러는 적어도 연관된 채널만큼 넓고(예를 들어, 1 mm 정도), 통상적으로 대략적으로 롤러의 연관된 베어링만큼 두꺼울 수도 있다. 특정 실시예에서, 통상적인 작은 베어링 폭이 주어지면, 롤러 폭은 2 mm 내지 3 mm 또는 2 mm 및 3 mm일 수도 있다. 특정 실시예에서, 롤러는 2 mm 이상, 2.5 mm 이상, 및/또는 3 mm 이하의 폭을 갖는다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 2 mm 내지 3 mm 또는 2 mm 및 3 mm). 다른 범위가 또한 가능하다. 특정 실시예에서, 과도하게 두꺼운 롤러는, 빔이 그렇지 않으면 채널과의 롤러 맞물림을 간섭할 것이기 때문에, 각각의 채널 사이를 밀봉하는 밀봉 플레이트에서 빔의 가능한 폭을 제한한다.
특정 실시예에서, 본 명세서에 설명된 시스템(예를 들어, 카트리지, 펌프)의 표면층의 엘라스토머는 연관된 채널에 대해 밀봉하기 위해 대략 2 파운드의 힘을 필요로 하여, 연관된 롤러의 스프링 메커니즘의 요구 사항에 기여한다. 특정 실시예에서, 이 밀봉력이 수 mm의 수직 변위에 걸쳐 대략적으로 조절될 수도 있으면, 대략 5 mm당 1 파운드의 스프링 롤러 아암의 스프링의 스프링 상수가 적절할 수도 있다. 특정 실시예에서, 스프링 롤러 아암의 스프링의 스프링 상수는 5 mm당 1 파운드 이상, 4 mm당 1 파운드 이상, 3 mm당 1 파운드 이상, 1 mm당 1 파운드 이하 및/또는 2 mm당 1 파운드 이하일 수도 있다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 5 mm당 1 파운드 내지 1 mm당 1 파운드 또는 5 mm당 1 파운드 및 1 mm당 1파운드). 다른 범위가 또한 가능하다. 특정 실시예에서, 이 스프링 상수는 아이들 위치에서 롤러 아암의 적당한 프리로딩을 용이하게 할 수도 있어, 스프링의 수 mm의 초기 변위로 필요한 2 파운드의 밀봉력을 제공할 수도 있다.
특정 실시예에서, 로커 샤프트와 본 명세서에 설명된 시스템의 대응 크랭크 샤프트 사이의 거리는 기능하는 크랭크 및 로커 메커니즘을 수용하기에 충분히 길다.
특정 실시예에서, 롤러 아암 각도 및 롤러 아암 길이와 함께, 로커 샤프트 및/또는 크랭크 샤프트에 관한 시스템의 롤러 아암의 힌지의 위치는 롤러가 완전 펌프 회전을 따르는 특정 경로를 결정하는 데 기여한다. 특정 실시예에서, 롤러가 로커에 가까울수록, 롤러는 더 수평으로 이동할 수도 있고(예를 들어, 수직으로 압축된 경로를 따라), 역으로, 롤러가 크랭크에 가까울수록, 롤러의 경로는 더 원형일 수도 있다. 적어도 이들 이유로, 특정 실시예에서, 크랭크 샤프트와 로커 샤프트 사이의 중앙을 향해 더 많은 롤러 아암 힌지를 위치시키는 것은 충분히 긴 스트로크 길이를 용이하게 할 뿐만 아니라, 롤러를 포함하는 시스템 부분의 병진 중에 기판 표면(예를 들어, 카트리지 표면)을 깨끗하게 하기 위해 충분한 수직 이동을 용이하게 하는 다소 타원형 경로를 생성한다. 특정 실시예에서, 롤러 아암 힌지는, (크랭크 샤프트) 대 (로커 샤프트) 연결 라인(예를 들어, 도 7b)에 수직으로 측정될 때, (크랭크 샤프트) 대 (로커 샤프트) 연결 라인으로부터 이격하는 롤러의 반경보다 적어도 크다.
본 명세서에 설명된 장치는 일반적으로 높은 유체 유동 분해능으로 유체를 수송하도록 구성된다. 예를 들어, 몇몇 실시예에서, 장치는 1000 마이크로리터 이하, 500 마이크로리터 이하, 200 마이크로리터 이하, 100 마이크로리터 이하, 50 마이크로리터 이하, 20 마이크로리터 이하, 또는 10 마이크로리터 이하의 유체 유동 분해능으로 유체를 수송하도록 구성된다. 몇몇 실시예에서, 장치는 1 마이크로리터 이상, 2 마이크로리터 이상, 또는 5 마이크로리터 이상의 유체 유동 분해능으로 유체를 수송하도록 구성된다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 1 마이크로리터 내지 1000 마이크로리터 또는 1 마이크로리터 및 1000 마이크로리터, 2 마이크로리터 내지 100 마이크로리터 또는 2 마이크로리터 및 100 마이크로리터, 5 마이크로리터 내지 50 마이크로리터 또는 5 마이크로리터 및 50 마이크로리터). 다른 범위가 또한 가능하다.
특정 실시예에서, 유체는 액체를 포함한다. 특정 실시예에서, 유체는 액체 및 액체 내의 고체 입자를 포함한다. 특정 실시예에서, 유체는 액체이다.
특정 실시예에서, 시스템 및 디바이스(예를 들어, 하나 이상의 장치, 카트리지, 펌프를 포함함)는 본 명세서에서 1000 μL 이하의 유체 유동 분해능을 갖는다. 예를 들어, 본 명세서의 시스템 및 디바이스는 500 μL 이하, 200 μL 이하, 100 μL 이하, 50 μL 이하, 20 μL 이하, 또는 10 μL 이하의 유체 유동 분해능을 가질 수도 있다. 본 명세서의 시스템 및 디바이스는 1 μL 이상, 2 μL 이상 또는 5 μL 이상의 유체 유동 분해능을 가질 수도 있다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 1 μL 내지 1000 μL 또는 1 μL 및 1000 μL, 2 μL 내지 100 μL 또는 2 μL 및 100 μL, 5 μL 내지 50 μL 또는 5 μL 및 50 μL). 다른 범위가 또한 가능하다. 특정 실시예에서, 본 명세서의 시스템 및 디바이스는 5 μL 내지 10 μL 또는 5 μL 및 10 μL의 유체 유동 분해능을 갖는다. 특정 실시예에서, 유체 유동 분해능은 펌프당, 예를 들어 크랭크 및 로커 메커니즘에서 크랭크의 단일 회전당 측정된다.
본 명세서에 사용될 때, 용어 "유체 유동 분해능"은 한 번에 채널을 통해 유동할 수 있는 유체의 최소량을 칭한다. 몇몇 실시예에서, 유체 유동 분해능은 예를 들어 채널 및/또는 펌핑 메커니즘의 치수에 의해 제한될 수도 있다. 예를 들어, 유체 유동 분해능은 한 번에 채널을 통해 유동할 수 있는 유체의 최소량을 칭할 수도 있고, 예를 들어 채널 및/또는 펌핑 메커니즘(예를 들어, 공기압, 용적식 펌프, 연동)의 치수에 의해 제한될 수도 있다.
다른 양태에서, 카트리지가 제공된다.
몇몇 실시예에서 카트리지는 베이스 층을 포함한다. 몇몇 실시예에서, 베이스 층은 하나 이상의 채널을 포함하는 표면을 갖는다. 예를 들어, 도 3a는 몇몇 실시예에 따른, 채널(102)의 폭을 따른 카트리지(100)의 단면도의 개략도이다. 도시되어 있는 카트리지(100)는 채널(102)을 포함하는 표면(111)을 갖는 베이스 층(104)을 포함한다. 특정 실시예에서, 채널 중 적어도 몇몇은 마이크로채널이다. 예를 들어, 몇몇 실시예에서 채널(102) 중 적어도 몇몇은 마이크로채널이다. 특정 실시예에서 모든 채널은 마이크로채널이다. 예를 들어, 도 3a를 다시 참조하면, 특정 실시예에서, 모든 채널(102)은 마이크로채널이다.
본 명세서에 사용될 때, 용어 "채널"은 통상의 기술자들에게 알려져 있을 것이고 유체를 수용 및/또는 수송하도록 구성된 구조를 칭할 수도 있다. 채널은 일반적으로 벽; 베이스(예를 들어, 벽에 연결되고 그리고/또는 벽으로부터 형성된 베이스); 및 채널의 하나 이상의 부분에서 개방, 커버 및/또는 밀봉될 수도 있는 표면 개구를 포함한다.
몇몇 실시예에서, 카트리지는 카트리지의 저장조 내의 유체가 저장조로부터 카트리지의 채널 및/또는 카트리지의 다른 저장조로 수송될 수 있도록(예를 들어, 연동 펌핑을 통해 적어도 부분적으로) 구성된다. 몇몇 실시예에서, 카트리지는 카트리지의 제1 채널 내의 유체가 제1 채널로부터 카트리지의 제2 채널 및/또는 카트리지의 저장조로 수송될 수 있도록(예를 들어, 연동 펌핑을 통해 적어도 부분적으로) 구성된다. 몇몇 실시예에서, 카트리지는 카트리지의 채널 내의 유체가 채널의 제1 부분으로부터 그 채널의 제2 부분으로 수송될 수 있도록(예를 들어, 연동 펌핑을 통해 적어도 부분적으로) 구성된다.
본 명세서에 사용될 때, 용어 "마이크로채널"은 크기가 1000 미크론 이하인 적어도 하나의 치수를 포함하는 채널을 칭한다. 예를 들어, 마이크로채널은 크기가 1000 미크론 이하(예를 들어, 100 미크론 이하, 10 미크론 이하, 5 미크론 이하)인 적어도 하나의 치수(예를 들어, 폭, 높이)를 포함할 수도 있다. 몇몇 실시예에서, 마이크로채널은 1 미크론 이상(예를 들어, 2 미크론 이상, 10 미크론 이상)의 적어도 하나의 치수를 포함한다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 1 미크론 이상 및 1000 미크론 이하, 또는 10 미크론 이상 및 100 미크론 이하). 다른 범위가 또한 가능하다. 몇몇 실시예에서, 마이크로채널은 1000 미크론 이하의 유압 직경을 갖는다. 본 명세서에 사용될 때, 용어 "유압 직경"(DH)은 통상의 기술자들에게 알려져 있을 것이고, DH = 4A/P로서 결정될 수도 있고, 여기서, A는 채널을 통한 유체 유동의 단면적이고 P는 단면의 습윤 둘레(유체에 의해 접촉되는 채널의 단면의 둘레)이다.
몇몇 실시예에서, 적어도 몇몇 채널(들)의 적어도 일부는 실질적으로 삼각형 형상의 단면을 갖는다. 몇몇 실시예에서, 채널(들) 중 적어도 몇몇의 적어도 일부는 채널의 베이스에 단일 정점을 갖고 베이스 층의 표면에 2개의 다른 정점을 갖는 실질적으로 삼각형 형상의 단면을 갖는다. 도 3a를 다시 참조하면, 몇몇 실시예에서, 채널(102) 중 적어도 몇몇의 적어도 일부는 채널의 베이스에 단일 정점을 갖고 베이스 층의 표면에 2개의 다른 정점을 갖는 실질적으로 삼각형 형상의 단면을 갖는다.
본 명세서에 사용될 때, 용어 "삼각형"은 삼각형이 실제 형상에 근사하거나 동일하도록 내접하거나 외접할 수 있는 형상을 칭하기 위해 사용되며, 순전히 삼각형에만 한정되지 않는다. 예를 들어, 삼각형 단면은 하나 이상의 부분에서 0이 아닌 곡률을 포함할 수도 있다.
삼각형 단면은 웨지 형상을 포함할 수도 있다. 본 명세서에 사용될 때, 용어 "웨지 형상"은 통상의 기술자에게 알려져 있으며, 두꺼운 단부를 갖고 얇은 단부로 테이퍼지는 형상을 칭한다. 몇몇 실시예에서, 웨지 형상은 두꺼운 단부로부터 얇은 단부까지 대칭축을 갖는다. 예를 들어, 웨지 형상은 두꺼운 단부(예를 들어, 채널의 표면 개구)를 가질 수도 있고 얇은 단부(예를 들어, 채널의 베이스)로 테이퍼질 수도 있고, 두꺼운 단부로부터 얇은 단부로 대칭축을 가질 수도 있다.
부가적으로, 특정 실시예에서, 실질적으로 삼각형 단면(즉, "v-홈(들)")은 다양한 형상비를 가질 수도 있다. 본 명세서에 사용될 때, 용어 v-홈에 대한 "형상비"는 높이-대-폭 비를 칭한다. 예를 들어, 몇몇 실시예에서, v-홈(들)은 2 이하, 1 이하, 또는 0.5 이하, 및/또는 0.1 이상, 0.2 이상, 또는 0.3 이상의 형상비를 가질 수도 있다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 0.1 내지 2 또는 0.1 및 2, 0.2 내지 1 또는 0.2 및 1). 다른 범위가 또한 가능하다.
몇몇 실시예에서, 적어도 몇몇 채널(들)의 적어도 일부는 실질적으로 삼각형 부분과 실질적으로 삼각형 부분 내로 개방되고 채널의 표면에 대해 실질적으로 삼각형 부분 아래로 연장하는 제2 부분을 포함하는 단면을 갖는다. 몇몇 실시예에서, 제2 부분은 실질적으로 삼각형 부분의 평균 직경보다 상당히 작은 직경(예를 들어, 평균 직경)을 갖는다. 도 3a를 다시 참조하면, 몇몇 실시예에서, 적어도 몇몇 채널(102)의 적어도 일부는 실질적으로 삼각형 부분(101)과 실질적으로 삼각형 부분(101) 내로 개방되고 채널의 표면(105)에 대해 실질적으로 삼각형 부분(101) 아래로 연장하는 제2 부분(103)을 포함하는 단면을 갖고, 제2 부분(103)은 실질적으로 삼각형 부분(101)의 평균 직경(109)보다 상당히 더 작은 직경(107)을 갖는다. 몇몇 실시예에서, 실질적으로 삼각형 부분의 평균 직경에 대한 제2 부분의 직경의 비는 0.8 이하, 0.6 이하, 0.5 이하, 0.4 이하, 0.3 이하, 0.2 이하, 및/또는 0.1 이하이다. 몇몇 이러한 경우에, 채널의 실질적으로 삼각형 부분의 평균 직경보다 상당히 더 작은 직경을 갖는 채널의 제2 부분은 실질적으로 삼각형 부분이 장치의 롤러 및 표면층의 변형된 부분에 액세스 가능하게 할 수 있지만, 제2 부분은 롤러 및 표면층의 변형된 부분에 액세스 불가능하다. 예를 들어, 도 3a를 다시 참조하면, 특정 실시예에 따라, 채널(102)의 실질적으로 삼각형 부분(101)은 롤러(도시되어 있지 않음) 및 표면층(106)의 변형된 부분에 액세스 가능하고, 반면 제2 부분(103)은 롤러 및 표면층(106)의 변형된 부분에 액세스 불가능하다. 몇몇 이러한 경우에, 표면층(106)이 롤러에 의해 변형되어 실질적으로 삼각형 부분(101)을 충전하지만 제2 부분(103)은 충전하지 않을 때에도, 유체가 제2 부분(103)에서 여전히 자유롭게 이동할 수 있기 때문에, 표면층(106)과의 밀봉이 제2 부분(103)을 갖는 채널(102)의 부분에서 달성될 수 없다. 몇몇 실시예에서, 채널의 길이를 따른 부분은 실질적으로 삼각형 부분과 제2 부분("깊은 섹션")의 모두를 가질 수도 있고, 반면 채널의 길이를 따른 상이한 부분은 실질적으로 삼각형 부분만을 갖는다. 몇몇 이러한 실시예에서, 장치(예를 들어, 롤러)가 실질적으로 삼각형 부분과 제2 부분(깊은 섹션)의 모두를 갖는 부분과 맞물릴 때, 표면층과의 밀봉이 달성되지 않기 때문에 펌프 작용이 시작되지 않는다. 그러나, 장치가 채널의 길이 방향을 따라 맞물림에 따라, 장치가 단지 실질적으로 삼각형 섹션을 갖는 채널 부분에서 표면층을 변형할 때, 그 부분에서 제2 부분(깊은 섹션)의 결여가 밀봉(및 따라서 압력차)이 생성되게 하기 때문에, 펌프 작용이 시작된다. 따라서, 몇몇 경우에, 카트리지의 채널의 길이를 따른 깊은 섹션이 존재 또는 부재는 채널의 어느 부분이 장치와 맞물림시에 펌프 작용을 경험하는 것이 가능한지의 제어를 허용할 수 있다.
카트리지의 채널 중 적어도 일부의 제2 부분과 같은 이러한 "깊은 섹션"의 포함은 임의의 다양한 잠재적 이점에 기여할 수도 있다. 예를 들어, 이러한 깊은 섹션(예를 들어, 제2 부분(103))은 몇몇 경우에, 연동 펌핑 프로세스에서 펌프 체적의 감소에 기여할 수도 있다. 몇몇 이러한 경우에, 펌프 체적은 더 높은 체적 분해능을 위해 2배 이상만큼 감소될 수 있다. 몇몇 경우에, 이러한 깊은 섹션은 또한 롤러가 채널에 랜딩하는 장소에 의해 결정되지 않는 펌프 체적에 대한 양호하게 정의된 시작점을 제공할 수도 있다. 예를 들어, 실질적으로 삼각형 부분과 제2 부분(깊은 섹션)의 모두를 갖는 채널의 부분과 단지 실질적으로 삼각형 부분만을 갖는 채널의 부분 사이의 인터페이스는 몇몇 경우에, 후자의 채널 부분의 체적을 점유하는 유체만이 펌핑될 수 있기 때문에, 펌프 체적의 양호하게 정의된 시작점으로서 사용될 수 있다. 몇몇 경우에, 롤러가 채널에 랜딩하는 장소는 카트리지 정합과 같은 임의의 다양한 요인에 따라 연관된 몇몇 에러를 가질 수도 있다. 깊은 섹션의 포함은 몇몇 경우에, 이러한 에러와 연관된 펌프 체적의 변동을 감소시키거나 제거할 수도 있다.
본 명세서에 사용될 때, 채널의 실질적으로 삼각형 부분의 평균 직경은 실질적으로 삼각형 부분의 정점으로부터 채널의 표면까지의 z-축에 걸친 평균으로서 측정될 수도 있다.
특정 실시예에서, 적어도 몇몇 채널(또한 본 명세서에서 펌핑 레인이라고도 칭함)(예를 들어, 모든 채널)은 각각 엘라스토머를 포함하는 표면층을 포함하는 밸브를 포함한다. 특정 실시예에서, 각각의 밸브는 채널 단부의 기하학적 형상에 의해 형성된 연관 채널의 폐색부를 포함한다. 예를 들어, 채널의 단부의 기하학적 형상은 채널의 하단으로부터 채널의 상단 표면까지 걸쳐 있는 벽일 수도 있고, 여기서 채널은 표면층과 인터페이싱한다. 몇몇 이러한 실시예에서, 채널은 밸브가 개방되도록 충분한 압력이 인가될 때까지 그 연관 밸브에 의해 폐쇄되어 유지된다. 특정 실시예에서, 밸브는 외향으로 팽창하는 표면층에 의해 개방된다. 특정 실시예에서, 각각의 밸브는 롤러에 의해 효과적으로 작동된다. 예를 들어, 몇몇 실시예에서, 롤러가 밸브에 비교적 가까울 때 롤러에 의해 표면층에 인가되는 압력은 표면층이 외향으로 팽창하게 하여(예를 들어, 다이아프램과 같이) 작은 폐색부와 표면층 사이의 밀봉부가 가역적으로 파괴되어, 이에 의해 유체가 밸브를 통과할 수 있게 된다. 이하의 예의 도 7f는 카트리지(1100)가 채널(1102)에 밸브(1108)를 포함하는 하나의 비한정적인 실시예를 도시하고 있다. 몇몇 경우에, 이러한 "수동" 밸브의 사용은 임의의 다양한 장점에 기여할 수 있다. 예를 들어, 몇몇 경우에, 본 명세서에 설명된 이러한 통합 밸브의 사용은 펌핑되지 않는 레인(예를 들어, 장치의 롤러와의 맞물림을 통한)이 폐쇄된 상태를 유지하는 것을 보장할 수 있다. 몇몇 이러한 경우에, 장치(예를 들어, 펌프)에 의해 맞물린 채널로부터의 유체만이 카트리지로부터 구동되는데, 이는 감소된 오염을 갖거나 오염을 갖지 않고 다중 채널 펌프로부터 유체를 선택적으로 구동하는 편리하고 간단하며 저렴한 방법을 허용할 수 있다.
특정 실시예에서, 채널은 일반적으로 1 이하의 깊이/폭의 형상비를 갖는, 특정한 비교적 작은 폭과 깊이를 갖는다. 몇몇 실시예에서, 채널 폭은 1 mm 이상, 1.2 mm 이상, 1.5 mm 이상, 2 mm 이하, 1.8 mm 이하, 및/또는 1.6 mm 이하이다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 1 mm 내지 2 mm 또는 1 mm 및 2 mm). 다른 범위가 또한 가능하다. 몇몇 실시예에서, 채널 깊이는 0.6 mm 이상, 0.75 mm 이상, 0.9 mm 이상, 1.5 mm 이하, 1.2 mm 이하, 및/또는 1.0 mm 이하이다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 0.6 mm 내지 1.5 mm 또는 0.6 mm 및 1.5 mm). 다른 범위가 또한 가능하다. 몇몇 실시예에서, 채널 형상비는 1 이하, 0.8 이하, 0.6 이하, 0.5 이하, 0.2 이상, 및/또는 0.4 이상이다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 0.2 내지 1 또는 0.2 및 1). 다른 범위가 또한 가능하다. 특정 실시예에서, 성형 프로세스의 공차 및 능력이 주어지면, 약 1.5 mm 정도의 폭 및 약 0.75 mm 정도의 깊이의 채널이 적절할 수도 있다. 특정 실시예에서, 채널 단면은 90도 v-홈을 갖는 1/2의 형상비를 갖는데, 이는 채널 내로의 용이한 롤러 액세스(예를 들어, 더 얕은 v-홈이 더 양호할 수도 있음)와 더 높은 체적 정밀도(예를 들어, 적어도 체적이 엘라스토머를 포함하는 표면층의 정밀한 평면성을 달성하는 데 덜 의존하기 때문에 더 깊은 v-홈이 더 양호할 수도 있음)의 모두를 제공한다. 특정 실시예에서, 채널 깊이는 엘라스토머를 포함하는 표면층의 두께 정도이므로, 표면층이 채널 치수의 몇몇 상당한 분율일 가능성이 있는 채널 내의 불완전부를 일시적으로 충전하고 이에 대해 밀봉할 수 있게 된다.
몇몇 실시예에서, 적어도 몇몇 채널(들)의 적어도 일부는 표면층을 갖는다.
몇몇 실시예에서, 표면층은 엘라스토머를 포함한다. 도 3a를 다시 참조하면, 예를 들어 몇몇 실시예에서, 적어도 몇몇 채널(102)의 적어도 일부는 채널(102)의 표면 개구를 실질적으로 밀봉하도록 구성된 엘라스토머를 포함하는 표면층(106)을 갖는다. 몇몇 실시예에서, 채널(102) 중 적어도 몇몇의 적어도 일부는 채널의 베이스에 단일 정점을 갖고 베이스 층의 표면에 2개의 다른 정점을 갖는 실질적으로 삼각형 형상의 단면을 갖고; 채널(102)의 표면 개구를 실질적으로 밀봉하도록 구성된 엘라스토머를 포함하는 표면층(106)을 갖는다.
몇몇 실시예에서, 엘라스토머는 실리콘을 포함한다. 몇몇 실시예에서, 엘라스토머는 실리콘 및/또는 열가소성 엘라스토머를 포함하고 그리고/또는 본질적으로 엘라스토머로 이루어진다.
몇몇 실시예에서, 표면층은 채널의 표면 개구를 실질적으로 밀봉하도록 구성된다. 몇몇 실시예에서 표면층은, 유체(예를 들어, 액체)가 채널의 입구 또는 출구를 통하지 않고는 채널을 떠날 수 없도록 채널의 표면 개구를 완전히 밀봉하도록 구성된다. 몇몇 실시예에서, 표면층은 베이스 층의 표면의 일부에 결합된다(예를 들어, 접착제에 의해, 열 적층, 또는 임의의 다른 적합한 결합 수단에 의해). 몇몇 실시예에서, 표면층은 접착제에 의해 베이스 층의 표면의 일부에 결합된다. 몇몇 실시예에서, 표면층은 열 적층에 의해 베이스 층의 표면의 일부에 결합된다.
본 명세서에 사용될 때, 용어 "밀봉"은 개구가 밀봉되도록 개구의 에지에서 또는 그 부근에서 접촉하는 것을 칭한다.
본 명세서에 사용될 때, 용어 "표면 개구"는 표면층으로 커버되지 않는 경우 채널을 주변 분위기에 개방할 것인 채널 부분을 칭한다. 예를 들어, 마이크로채널은 표면 개구를 가질 수도 있다.
본 명세서에 사용될 때, 표면층은 임의의 적합한 결합 수단에 의해 베이스 층의 표면의 일부에 결합될 수도 있다. 예를 들어, 몇몇 실시예에서, 표면층은 공유적으로, 이온적으로, 반데르 발스(Van der Waals) 상호 작용에 의해, 쌍극자-쌍극자 상호 작용에 의해, 수소 결합에 의해, 파이-파이 적층 상호 작용에 의해, 또는 다른 적합한 결합 수단에 의해 베이스 층의 표면의 일부에 결합된다.
몇몇 실시예에서, 표면층은 베이스 층의 표면의 일부와 직접 접촉하여 인장 상태로 유지된다.
본 명세서에 사용될 때, 채널의 표면(예를 들어, 천장)은 표면층의 내부 표면에 대응할 수도 있다.
몇몇 실시예에서, 표면층의 적어도 일부는 적어도 하나의 크기의 인가된 압력의 부재시에 평탄하다. 몇몇 실시예에서, 표면층의 전체는 적어도 하나의 크기의 인가된 압력의 부재시에 평탄하다. 예를 들어, 몇몇 실시예에서, 표면층의 적어도 일부(또는 전체)는 장치의 롤러에 의한 맞물림(압력의 인가를 통해 표면층의 변형을 유발할 수 있음)의 부재시에 평탄하다.
몇몇 실시예에서, 적어도 몇몇 채널(들)의 적어도 일부는 생물학적 재료와 호환성인 재료(예를 들어, 실질적으로 강성 재료)를 포함하는 베이스와 벽을 갖는다. 몇몇 실시예에서, 적어도 몇몇 채널(들)의 적어도 일부는 실질적으로 강성 재료를 포함하는 베이스와 벽을 갖는다. 예를 들어, 도 3a를 다시 참조하면, 몇몇 실시예에서, 채널(102) 중 적어도 일부의 적어도 일부는 실질적으로 강성 재료를 포함하는 베이스와 벽을 갖는다. 특정 실시예에서, 베이스는 베이스 층(104)의 재료와 동일한 재료를 포함한다. 특정 실시예에서, 베이스는 베이스 층(104)의 재료와는 상이한 재료를 포함한다. 예를 들어, 베이스는 채널의 벽과 베이스가 강성 재료로 코팅된 경우 베이스 층(104)의 재료와는 상이한 재료를 포함할 수도 있다. 몇몇 실시예에서, 실질적으로 강성 재료는 생물학적 재료와 호환성이 있다. 몇몇 실시예에서, 베이스 층은 사출 성형 부분이다.
몇몇 실시예에서 카트리지는 밀봉 플레이트를 더 포함한다. 몇몇 실시예에서, 밀봉 플레이트는 경질 플라스틱을 포함하고 그리고/또는 사출 성형된 부분이다. 특정 실시예에서, 밀봉 플레이트는 하나 이상의 관통 구멍을 포함한다. 몇몇 실시예에서, 하나 이상의 관통 구멍은 베이스 층 내의 하나 이상의 연관된 채널과 실질적으로 유사한 형상을 갖는다. 이러한 맥락에서 "관통 구멍"은 예를 들어, 장치의 하나 이상의 기계적 구성요소가 카트리지의 표면층과 맞물리고 그리고/또는 분리되도록 이동할 수 있는 밀봉 플레이트의 간극/구멍/공극을 칭한다는 것이 이해되어야 한다. 예를 들어, 본 명세서에 설명된 바와 같은 롤러 및 카트리지를 포함하는 연동 펌프는 그 표면과 맞물림 및/또는 분리될 때 롤러가 카트리지의 표면층에 도달하기 위해 밀봉 플레이트의 관통 구멍의 적어도 일부를 통해 이동하도록 구성될 수도 있다. 관통 구멍은 임의의 다양한 형상 및 형상비(직사각형, 정사각형, 원형, 장방형 등)를 가질 수도 있다. 예로서, 이하에 더 상세히 설명되는 도 7d를 참조하면, 밀봉 플레이트(1108)는 특정 실시예에 따라, 채널(1106) 위에 정렬된 관통 구멍(1109)을 포함한다. 롤러(1020)는 적어도 부분적으로 관통 구멍(1109)을 통해 이동함으로써 카트리지(1100)의 표면층과 맞물림 및/또는 분리되는 것이 가능할 수도 있다.
특정 실시예에서, 밀봉 플레이트의 하나 이상의 관통 구멍 중 적어도 일부는 베이스 층의 하나 이상의 연관된 채널과 정렬하여 구성된다. 몇몇 실시예에서, 카트리지는 밀봉 플레이트와 베이스 층 사이에 배치된 엘라스토머를 포함하는 표면층을 포함한다. 특정 실시예에서, 표면층은 베이스 층의 밀봉 플레이트 사이에 직접 배치된다. 특정 실시예에서, 카트리지는 밀봉 플레이트와 베이스 층 사이에 배치된 표면층의 하나 이상의 노출된 영역을 포함하고, 하나 이상의 노출된 영역의 각각은 밀봉 플레이트의 연관된 관통 구멍 및 베이스 층의 정렬된 채널에 의해 형성된다. 특정 실시예에서, 표면층의 하나 이상의 노출된 영역의 하나 이상의 노출된 부분은 베이스 층의 연관 채널의 벽 및/또는 베이스의 하나 이상의 연관 부분과 접촉하도록 롤러에 의해 변형될 수도 있다.
몇몇 실시예에서, 적어도 몇몇 채널(들)은 저장조에 연결된다. 저장조는 샘플을 수반하는 화학 반응을 위해 사용될 수도 있다. 일 비한정적인 예로서, 저장조는 샘플을 수반하는 효소 반응을 위해 사용될 수도 있다(예를 들어, 추가 분석, 시퀀싱 또는 진단 프로세스 전의 상류 프로세스로서).
저장조는 저장조의 둘레에서 교차함으로써 채널(들)의 하단 표면에 있는 적어도 몇몇 채널(들)에 연결될 수도 있다. 몇몇 이러한 경우에, 이어서, 저장조 및 저장조가 연결된 채널은 각각 카트리지의 표면층(예를 들어, 실리콘 멤브레인과 같은 멤브레인)과 인터페이싱한다. 그러나, 몇몇 실시예에서, 저장조는 저장조 또는 카트리지의 상단 표면을 통해 적어도 몇몇 채널(들)에 연결된다. 몇몇 실시예에서, 저장조는 비어 있다(예를 들어, 본 명세서의 하나 이상의 프로세스 전에 초기에 비어 있음). 예를 들어, 저장조는 시퀀싱(또는 분석 또는 진단) 애플리케이션의 시작시에 초기에 비어 있을 수도 있지만, 애플리케이션 동안에, 샘플 및/또는 시약(예를 들어, 효소 반응 시약)이 추가된다. 몇몇 실시예에서, 저장조는 시약(예를 들어, 수 마이크로리터와 같은 작은 체적의 효소 반응 시약)을 함유한다. 몇몇 이러한 실시예에서, 샘플은 시약을 포함하는 저장조로 수송되고, 샘플과 시약은 저장조 내로의 샘플의 수송시에 혼합된다.
몇몇 실시예에서, 적어도 몇몇 채널(들)은 온도 구역에서 저장조에 연결된다. 저장조는, 저장조가 접촉하고 있거나 저장조 내의 유체의 온도를 조절할 수 있는 열 욕조에 의해 적어도 부분적으로(또는 완전히) 둘러싸여 있는 경우 저장조는 온도 구역에 있을 수도 있다. 예를 들어, 저장조는 저장조 내의 유체의 온도를 조절하는 것이 가능한 금속 공동(예를 들어, 기기에 통합된 금속 공동)에 의해 둘러싸여 있을 수도 있다. 저장조의 온도 조절(예를 들어, 온도 구역을 통한)은 비교적 정확한 온도 제어를 허용할 수도 있다. 비교적 정확한 온도는 원하는 반응(예를 들어, 효소 반응)이 특정 온도 범위에서 더 효율적으로 진행되는 특정 실시예에서 유용할 수도 있다.
도 1b는 샘플 준비 모듈(1700)이 선택적 저장조(1500)를 더 포함하는 전술된 시스템(2000)의 특정 실시예의 개략도를 도시하고 있다. 몇몇 실시예에서, 저장조는 연동 펌프에 연결된다. 몇몇 이러한 실시예에서, 저장조(1500)에 포함된 유체(들)는 저장조(1500)로부터 연동 펌프(1400)의 카트리지(1300)로 전달된다(예를 들어, 샘플 준비 프로세스 동안). 몇몇 실시예는 샘플 준비 모듈로부터 검출 모듈로 샘플의 적어도 일부를 유동하기 전에 저장조로부터 샘플 준비 모듈의 연동 펌프로 샘플의 적어도 일부를 유동시키는 것을 포함한다. 도 1b는 카트리지(1300)와는 별개의 구성요소인 것으로서 선택적 저장조(1500)를 도시하고 있지만, 몇몇 실시예에서, 선택적 저장조(1500)는 카트리지(1300)의 부분이라는 것이 이해되어야 한다. 예를 들어, 선택적 저장조는 카트리지 내부에 있을 수도 있지만, 몇몇 실시예에 따르면, 시스템 내의 유체의 유동 방향에 대해 카트리지 채널(들)의 상류에 있을 수도 있다. 샘플 준비 모듈은 하나 초과의 저장조를 포함할 수도 있다는 것이 또한 이해되어야 한다. 예를 들어, 몇몇 실시예에서, 샘플 준비 모듈은 적어도 1개, 적어도 2개, 적어도 3개, 적어도 4개, 적어도 5개, 또는 그 이상의 저장조를 포함한다.
몇몇 실시예에서, 적어도 몇몇 채널(들)은 겔(예를 들어, 전기영동 겔)에 연결된다. 겔은 겔 내에 매립된 유체 저장조를 통해 적어도 몇몇 채널(들)에 연결될 수도 있다. 몇몇 이러한 경우에, 겔 내에 매립된 유체 저장조는 전술된 저장조(예를 들어, 선택적 저장조(1500))와 유사한 방식으로 적어도 몇몇 채널(들)에 연결된다. 도 1b는 샘플 준비 모듈(1700)이 선택적 겔(1600)을 더 포함하는 전술된 시스템(2000)의 특정 실시예의 개략도를 도시하고 있다. 몇몇 실시예에서, 겔(1600)은 전기영동 겔이다. 몇몇 실시예에서, 샘플 준비 모듈은 연동 펌프 및 검출 모듈에 연결된 전기영동 겔을 포함한다. 몇몇 이러한 실시예에서, 전기영동 겔은 연동 펌프의 하류 및 검출 모듈의 상류에 있다. 비한정적인 예로서, 몇몇 실시예에서, 연동 펌프(1400)에 의해 펌핑된 유체(들)는 샘플 준비 모듈(1700)의 카트리지(1300) 외부로(예를 들어, 적어도 몇몇 채널(들)을 통해) 선택적인 겔(1600)(예를 들어, 샘플 준비 프로세스 동안)로 전달된다. 몇몇 실시예에서, 샘플 준비 모듈로부터 검출 모듈로 샘플의 적어도 일부를 유동시키는 것은 연동 펌프로부터 전기영동 겔로 샘플의 적어도 일부를 유동시키고, 이후에 샘플의 적어도 일부를 검출 모듈로 유동시키는 것을 포함한다. 몇몇 이러한 실시예에서, 유체(예를 들어, 준비된 샘플)는 선택적 겔(1600)로부터 검출 모듈(1800)로 수송된다(몇몇 경우에, 로딩 모듈과 같은 하나 이상의 중간 모듈을 통해). 샘플 준비 모듈은 하나 초과의 겔을 포함할 수도 있다는 것이 또한 이해되어야 한다. 예를 들어, 몇몇 실시예에서, 샘플 준비 모듈은 적어도 1개, 적어도 2개, 적어도 3개, 적어도 4개, 적어도 5개, 또는 그 이상의 겔을 포함한다. 몇몇 실시예에서, 겔은 카트리지 내에 위치될 수도 있다는 것이 또한 이해되어야 한다. 예를 들어, 카트리지는 채널 및 겔을 포함할 수도 있고, 카트리지는 유체(예를 들어, 샘플의 적어도 일부)가 채널로부터 겔로(그리고 몇몇 경우에, 겔로부터 카트리지 내의 또는 분리된 추가 하류 위치로) 수송될 수 있도록(예를 들어, 적어도 부분적으로 연동 펌핑을 통해) 구성될 수도 있다.
겔은 임의의 다양한 목적으로 사용될 수도 있다. 예를 들어, 몇몇 실시예에서, 겔은 샘플을 처리하기 위해 사용될 수 있다. 일 이러한 예는 샘플을 처리하기 위해 겔 내에서 샘플 유체를 전기영동적으로 수송하기 위해(예를 들어, 겔 내에 매립된 유체 저장조로부터 겔 내의 하나 이상의 다른 위치로) 전기영동 겔을 사용하는 것이다. 몇몇 이러한 프로세스는 하류 검출 전에 샘플의 특정 구성요소를 적어도 부분적으로 격리하거나 농축하고 또는 샘플을 세정하는 데(예를 들어, 크기 선택을 통해) 사용될 수도 있다. 겔의 특정 예시적인 용도는 이하에 더 상세히 설명되어 있다.
몇몇 실시예에서, 본 명세서에 설명된 시스템은 검출(예를 들어, 시퀀싱) 모듈과 기능적으로 연결될 수도 있는 로딩 모듈과 기능적으로 연결될 수도 있는 샘플 준비 모듈 내에 샘플의 적어도 일부를 형성한다. 몇몇 실시예에서, 샘플 준비 모듈로부터 검출 모듈로 샘플의 적어도 일부를 유동시키는 것은 샘플 준비 모듈로부터 로딩 모듈로 샘플의 적어도 일부를 유동시키고, 이후에 샘플의 적어도 일부를 검출 모듈로 유동시키는 것을 포함한다. 예를 들어, 도 1b를 다시 참조하면, 샘플의 적어도 일부는 샘플 준비 모듈(1700)에서 준비되고, 샘플의 적어도 일부는 검출 모듈(1800)의 구성에 따라, 통상의 기술자에게 알려진 임의의 다양한 기술을 통해 검출 모듈(1800) 내로 샘플의 적어도 일부를 로딩하도록 구성될 수 있는 선택적 로딩 모듈(1900)로 전달된다. 예시적인 검출 모듈에 샘플 또는 그 일부를 로딩하는 예시적인 방법은 이하에 더 상세히 설명된다.
본 명세서에 설명된 채널(들)은 일반적으로 높은 유체 유동 분해능으로 유체를 수송하도록 구성된다. 예를 들어, 몇몇 실시예에서, 적어도 몇몇 채널(들)은 1000 마이크로리터 이하, 100 마이크로리터 이하, 50 마이크로리터 이하, 또는 10 마이크로리터 이하의 유체 유동 분해능으로 유체를 수송하도록 구성된다. 몇몇 실시예에서, 적어도 몇몇 채널(들)은 1 마이크로리터 이상, 2 마이크로리터 이상, 또는 4 마이크로리터 이상의 유체 유동 분해능으로 유체를 수송하도록 구성된다. 전술된 범위의 조합이 또한 가능하다(예를 들어, 1 마이크로리터 내지 1000 마이크로리터 또는 1 마이크로리터 및 1000 마이크로리터, 2 마이크로리터 내지 100 마이크로리터 또는 2 마이크로리터 및 100 마이크로리터, 4 마이크로리터 내지 50 마이크로리터 또는 4 마이크로리터 및 50 마이크로리터). 다른 범위가 또한 가능하다.
다른 양태에서, 연동 펌프가 제공된다.
몇몇 실시예에서, 연동 펌프는 본 명세서에 설명된 롤러를 포함한다.
몇몇 실시예에서, 연동 펌프는 본 명세서에 설명된 카트리지를 포함한다.
특정 실시예에서, 연동 펌프는 본 명세서에 설명된 롤러 및 예를 들어 롤러가 카트리지의 채널과 맞물리고 그리고/또는 분리될 수도 있도록 구성된 본 명세서에 설명된 카트리지를 포함한다.
몇몇 실시예에서, 연동 펌프는 본 명세서에 설명된 장치를 포함한다.
특정 실시예에서, 연동 펌프는 본 명세서에 설명된 장치 및 예를 들어 장치(예를 들어, 장치의 롤러)가 카트리지의 채널과 맞물리고 그리고/또는 분리될 수도 있도록 구성된 본 명세서에 설명된 카트리지를 포함한다.
몇몇 실시예에서, 연동 펌프는 연결 아암에 의해 롤러에 연결된 본 명세서에 설명된 크랭크 및 로커 메커니즘을 포함한다.
특정 실시예에서, 연동 펌프는 본 명세서에 설명된 롤러, 연결 아암에 의해 롤러에 연결된 본 명세서에 설명된 크랭크 및 로커 메커니즘, 및 예를 들어 롤러가 크랭크 및 로커 메커니즘의 동작에 의해 카트리지의 채널과 맞물리고 그리고/또는 분리될 수도 있도록 구성된 본 명세서에 설명된 카트리지를 포함한다.
몇몇 실시예에서, 롤러 및 카트리지를 포함하는 연동 펌프가 제공된다. 예를 들어, 몇몇 실시예에서, 롤러(예를 들어, 도 2a, 도 2b의 220) 및 카트리지(예를 들어, 도 3a의 카트리지(100))를 포함하는 연동 펌프가 제공된다. 몇몇 실시예에서, 장치 및 카트리지를 포함하는 연동 펌프가 제공된다. 예를 들어, 몇몇 실시예에서, 장치(예를 들어, 도 2a의 200) 및 카트리지(예를 들어, 도 3a의 카트리지(100))를 포함하는 연동 펌프가 제공된다.
본 명세서에 사용될 때, 제1 기계적 구성요소는 제2 기계적 구성요소의 적어도 일부의 이동 및/또는 변형을 수행하도록 구성되기 위해 제2 기계적 구성요소와 접촉하게 됨으로써 제2 기계적 구성요소와 "맞물린다". 예를 들어, 제1 기계적 구성요소(예를 들어, 롤러, 장치)는 제2 기계적 구성요소의 적어도 일부의 이동 및/또는 변형을 수행하도록 구성되기 위해 제2 기계적 구성요소와 접촉하게 됨으로써 제2 기계적 구성요소(예를 들어, 채널, 베이스 층)와 맞물릴 수도 있다. 예를 들어, 롤러(예를 들어, 도 3b의 롤러(220))는 예를 들어, 유체(예를 들어, 도 3b의 유체(112))가 채널 내에서 변위되도록 채널의 표면층(예를 들어, 도 3b의 표면층(106))과 접촉하게 되고 표면층을 채널 내로 변형시킴으로써 채널(예를 들어, 도 3b의 채널(102))과 맞물릴 수도 있다.
본 명세서에 사용될 때, 제1 기계적 구성요소는 제2 기계적 구성요소와의 접촉으로부터 제거되고 그리고/또는 제2 기계적 구성요소의 적어도 일부의 이동 및/또는 변형을 실행하기 위한 구성으로부터 제거됨으로써 제2 기계적 구성요소로부터 "분리된다". 예를 들어, 롤러 및/또는 장치는 제2 기계적 구성요소와의 접촉으로부터 제거되고 그리고/또는 제2 기계적 구성요소의 적어도 일부의 이동 및/또는 변형을 실행하기 위한 구성으로부터 제거됨으로써 제2 기계적 구성요소(예를 들어, 채널)로부터 분리될 수도 있다. 몇몇 실시예에서, 제1 기계적 구성요소는 제2 기계적 구성요소로부터 분리되지만 여전히 제2 기계적 구성요소와 접촉하고 있다.
본 명세서에 사용될 때, 용어 "제1" 기계적 구성요소 및 "제2" 기계적 구성요소는 시스템 내의 상이한 기계적 구성요소를 칭하며, 각각의 기계적 구성요소의 위치와 관련하여 제한을 의도하지 않는다는 것이 이해되어야 한다. 예를 들어, 제1 기계적 구성요소 및 제2 기계적 구성요소를 갖는 시스템 및 디바이스는 장치, 카트리지 및/또는 연동 펌프를 포함할 수도 있다. 더욱이, 몇몇 실시예에서, 부가의 기계적 구성요소가 표시된 것에 추가하여 존재할 수도 있다. 예를 들어, 몇몇 실시예에서, "제3", "제4", "제5", "제6", "제7", 또는 더 많은 수의 기계적 구성요소가 표시된 것에 추가하여 존재할 수도 있다. 도면에 도시되어 있는 모든 기계적 구성요소가 몇몇 실시예에서 존재할 필요가 있는 것은 아니라는 것이 또한 이해되어야 한다.
몇몇 실시예에서, 연동 펌프는 크랭크를 포함한다.
몇몇 실시예에서, 연동 펌프는 로커를 포함한다.
몇몇 실시예에서, 연동 펌프는 크랭크를 로커 및 롤러에 결합하도록 구성된 연결 아암을 포함한다.
특정 실시예에서, 연동 펌프는 본 명세서에 설명된 롤러, 크랭크, 로커, 크랭크를 로커 및 롤러에 결합하도록 구성된 연결 아암, 및 예를 들어 롤러가 크랭크 및 로커 메커니즘의 동작에 의해 카트리지의 채널과 맞물리고 그리고/또는 분리될 수도 있도록 구성된 본 명세서에 설명된 카트리지를 포함한다.
다른 양태에서, 방법이 제공된다.
몇몇 실시예에서, 제조 방법(본 명세서에서 또한 제조하는 방법이라고도 칭함)이 제공된다. 몇몇 실시예에서, 방법은 시스템(예를 들어, 장치, 연동 펌프)의 하나 이상의 기계적 구성요소(예를 들어, 아암, 크랭크 아암, 로커 아암, 연결 아암, 롤러, 캐리지)를 제조하는 단계를 포함하고, 예를 들어, 여기서, 제조는 기계가공(예를 들어, 통상적인 기계가공) 및/또는 사출 성형(예를 들어, 열가소성 사출 성형, 정밀 사출 성형)을 포함한다. 몇몇 실시예에서, 시스템의 하나 이상의 기계적 구성요소(예를 들어, 나사, 베어링, 스프링, 로드, 숄더 볼트, 모터, 캐리지)는 상업적으로 이용 가능하다. 몇몇 실시예에서, 방법은 하나 이상의(예를 들어, 2개, 3개) 맞춤형 치수를 갖는 구성요소(들)를 얻기 위해 하나 이상의 상업적으로 이용 가능한 기계적 구성요소를 수정(예를 들어, 기계가공)하는 단계를 포함한다. 예를 들어, 특정 실시예에서, 방법은 상업적으로 이용 가능한 병진기 로드의 길이를 수정하는 단계 및/또는 상업적으로 이용 가능한 병진기 나사의 길이를 맞춤형 길이(들)로 수정하는 단계를 포함한다.
몇몇 실시예에서, 장치를 제조하는 방법은 크랭크 아암, 로커 아암, 및 롤러를 연결 아암에 연결하는 단계를 포함한다. 특정 실시예에서, 롤러를 연결 아암에 연결하는 단계는 롤러 아암을 사용하여 롤러를 연결 아암에 연결하는 단계를 포함한다. 특정 실시예에서, 방법은 스프링을 포함하는 힌지에 의해 롤러 아암을 연결 아암에 연결하는 단계를 포함한다.
몇몇 실시예에서, 방법은 로커 샤프트의 회전축이 크랭크 샤프트의 회전축에 대해 고정 유지되도록 로커 아암의 샤프트를 크랭크 아암의 샤프트에 연결하는 단계를 포함한다. 예를 들어, 특정 실시예에서, 로커 아암의 샤프트를 크랭크 아암의 샤프트에 연결하는 단계는 로커 아암의 샤프트와 크랭크 아암의 샤프트를 캐리지에 연결하는 단계를 포함한다. 특정 실시예에서, 방법은 캐리지를 병진기 로드 및 병진기 나사에 연결하는 단계를 포함한다. 몇몇 이러한 실시예에서, 병진기 로드 및 병진기 나사는 캐리지의 임의의 모션이 크랭크 및 로커 메커니즘의 임의의 모션에 독립적이게 하는 구성으로 캐리지에 연결된다.
몇몇 실시예에서, 방법은 하나 이상의 기계적 구성요소를 모터에 연결하는 단계를 포함한다. 예를 들어, 특정 실시예에서, 방법은 크랭크 아암의 샤프트를 크랭크 모터에 연결하는 단계를 포함한다. 다른 예로서, 방법은 병진기 모터에 병진기 나사를 연결하는 단계를 포함할 수도 있다. 특정 실시예에서, 방법은 크랭크의 임의의 모션이 병진기 나사의 임의의 모션에 독립적이게 하는 구성으로, 크랭크 아암의 샤프트를 크랭크 모터에 연결하는 단계 및 병진기 나사를 병진기 모터에 연결하는 단계의 모두를 포함한다.
몇몇 실시예에서, 방법은 기계가공 및/또는 사출 성형에 의해 하나 이상의 기계적 구성요소를 제조하는 단계를 포함한다. 예를 들어, 몇몇 실시예에서, 방법은 크랭크 아암, 로커 아암, 연결 아암, 롤러, 롤러 아암 및/또는 캐리지를 기계가공 및/또는 사출 성형하는 단계를 포함한다. 특정 실시예에서, 방법은 하나 이상의 기계적 구성요소를 기계가공하는 단계를 포함한다. 특정 실시예에서, 방법은 하나 이상의 기계적 구성요소를 사출 성형하는 단계를 포함한다. 예를 들어, 사출 성형은 열가소성 사출 성형 및/또는 정밀 사출 성형을 포함할 수도 있다.
몇몇 실시예에서, 방법은 하나 이상의 맞춤형 치수를 갖는 하나 이상의 기계적 구성요소를 얻기 위해 하나 이상의 상업적으로 이용 가능한 기계적 구성요소를 수정하는 단계를 포함한다. 예를 들어, 특정 실시예에서, 하나 이상의 상업적으로 이용 가능한 기계적 구성요소를 수정하는 단계는 상업적으로 이용 가능한 병진기 로드의 길이를 맞춤형 길이로 수정하는 단계 및/또는 상업적으로 이용 가능 한 병진기 나사의 길이를 맞춤형 길이로 수정하는 단계를 포함한다. 특정 실시예에서, 수정은 기계가공을 포함한다.
특정 실시예에서, 방법은 카트리지의 하나 이상의 기계적 구성요소를 제조하는 단계를 포함하고, 예를 들어, 여기서, 제조는 사출 성형(예를 들어, 정밀 사출 성형)을 포함한다. 몇몇 실시예에서, 방법은 경강 툴링(hard-steel tooling)에 의한 사출 성형을 포함한다. 특정 실시예에서, 평활한, 무결함 표면 및 엄격한 공차(예를 들어, 수십 미크론 정도)가 경강 툴링에 의한 사출 성형에 의해 제조된 하나 이상의 기계적 구성요소에 대해 얻어지는데, 이는 높은 처리량으로 의료 디바이스 소모품을 제조하는 데 유리할 수도 있다.
몇몇 실시예에서, 방법은 엘라스토머(예를 들어, 실리콘, 열가소성 엘라스토머)를 포함하는 표면층을 하나 이상의 관통 구멍(예를 들어, 경질 플라스틱 사출 성형 부품)을 포함하는 밀봉 플레이트 상에 오버몰딩하여 표면층 및 밀봉 플레이트를 포함하는 표면 물품을 형성하는 단계를 포함한다. 몇몇 실시예에서, 방법은 표면 물품을 베이스 층과 조립하여 카트리지를 형성하는 단계를 포함하고, 여기서, 조립은 예를 들어 레이저 용접, 음파 용접, 접착(예를 들어, 접착제를 사용하는), 및/또는 소모품에 대한 다른 적합한 부착 프로세스를 포함한다. 특정 실시예에서, 방법은 밀봉 플레이트의 하나 이상의 관통 구멍을 베이스 층의 대응하는 하나 이상의 채널과 정렬하는 단계를 포함한다.
몇몇 실시예에서, 방법은 미리 제조된 시트 스톡으로부터 엘라스토머를 포함하는 표면층을 다이-절단(예를 들어, 오버몰딩에 대한 대안으로서)하는 단계를 포함하고, 이는 유리하게는 경도 및/또는 두께에서 높은 정밀도를 제공할 수도 있다. 몇몇 실시예에서, 방법은 베이스 층(예를 들어, 경질 플라스틱을 포함하고 그리고/또는 본질적으로 이루어짐)과 밀봉 플레이트(예를 들어, 경질 플라스틱을 포함하고 그리고/또는 본질적으로 이루어짐) 사이에 엘라스토머(예를 들어, 다이-절단 엘라스토머 층)를 포함하는 표면층을 조립하여, 예를 들어 레이저 용접, 음파 용접, 접착 및/또는 소모품에 대한 다른 적합한 부착 프로세스를 사용하여 카트리지를 형성하는 단계를 포함한다. 특정 실시예에서, 베이스 층은 하나 이상의 채널을 포함하고 밀봉 플레이트는 하나 이상의 관통 구멍을 포함한다. 특정 실시예에서, 방법은 밀봉 플레이트의 하나 이상의 관통 구멍을 베이스 층의 대응하는 하나 이상의 채널과 정렬하는 단계를 포함한다.
특정 실시예에서, 표면층은 연동 층, 밸브 다이아프램, 및 시스템용 면 밀봉 개스킷으로서 기능한다.
몇몇 실시예에서, 카트리지를 제조하는 방법은 표면층을 포함하는 표면 물품을 베이스 층과 조립하여 카트리지를 형성하는 단계를 포함한다. 특정 실시예에서, 표면층은 엘라스토머를 포함한다. 특정 실시예에서, 베이스 층은 하나 이상의 채널을 포함한다. 특정 실시예에서, 하나 이상의 채널 중 적어도 일부는 실질적으로 삼각형 형상 단면을 갖는다.
몇몇 실시예에서, 표면층을 포함하는 표면 물품을 베이스 층과 조립하여 카트리지를 형성하는 단계는 표면층을 베이스 층에 레이저 용접, 음파 용접, 및/또는 접착하는 단계를 포함한다. 예를 들어, 몇몇 실시예에서, 방법은 접착제를 사용하여 표면층을 베이스 층에 접착하는 단계를 포함한다.
몇몇 실시예에서, 방법은 미리 제조된 시트 스톡으로부터 엘라스토머를 포함하는 표면층을 다이-절단하는 단계를 포함한다. 몇몇 실시예에서, 표면 물품은 본질적으로 표면층으로 이루어진다. 몇몇 실시예에서, 표면층을 포함하는 표면 물품을 베이스 층과 조립하여 카트리지를 형성하는 단계는 엘라스토머를 포함하는 표면층을 베이스 층과 밀봉 플레이트 사이에 조립하여 카트리지를 형성하는 단계를 포함하고, 여기서, 밀봉 플레이트는 하나 이상의 관통 구멍을 포함한다. 몇몇 실시예에서, 엘라스토머를 포함하는 표면층을 베이스 층과 밀봉 플레이트 사이에 조립하는 단계는 표면층을 표면층의 일 면에서 베이스 층에 그리고 표면층의 다른 면에서 밀봉 플레이트에 레이저 용접, 음파 용접, 및/또는 접착하는 단계를 포함한다.
몇몇 실시예에서, 방법은 엘라스토머를 포함하는 표면층을 하나 이상의 관통 구멍을 포함하는 밀봉 플레이트 상에 오버몰딩하여 표면 물품을 형성하는 단계를 포함하고, 여기서, 표면 물품은 밀봉 플레이트를 더 포함한다.
몇몇 실시예에서, 밀봉 플레이트의 하나 이상의 관통 구멍 중 적어도 몇몇은 베이스 층의 하나 이상의 채널 중 적어도 몇몇의 형상과 실질적으로 유사한 형상을 갖는다. 몇몇 실시예에서, 방법은 밀봉 플레이트의 하나 이상의 관통 구멍을 베이스 층의 대응하는 하나 이상의 채널과 정렬하는 단계를 포함한다. 예를 들어, 특정 실시예에서, 하나 이상의 관통 구멍을 하나 이상의 채널과 정렬하는 단계는 베이스 층 내의 하나 이상의 연관 채널 위의 표면층의 하나 이상의 노출된 영역에 대응하는 표면층의 하나 이상의 노출된 영역을 생성하여, 롤러(예를 들어, 본 명세서에 설명된 장치의 롤러)가 표면층의 노출된 영역의 노출된 부분을 변형시켜 베이스 층의 연관 채널의 벽 및/또는 베이스의 부분과 접촉할 수도 있게 한다.
몇몇 실시예에서, 방법은 카트리지의 하나 이상의 기계적 구성요소를 사출 성형하는 단계를 포함한다. 예를 들어, 특정 실시예에서, 카트리지의 하나 이상의 기계적 구성요소를 사출 성형하는 단계는 밀봉 플레이트를 형성하기 위한 사출 성형을 포함한다. 특정 실시예에서, 카트리지의 하나 이상의 기계적 구성요소를 사출 성형하는 단계는 베이스 층을 형성하기 위한 사출 성형을 포함한다. 사출 성형은 예를 들어, 정밀 사출 성형 및/또는 경강 툴링에 의한 사출 성형을 포함할 수도 있다.
몇몇 실시예에서, 펌프를 제조하는 방법이 제공된다. 특정 실시예에서, 방법은 표면층을 포함하는 표면 물품을 베이스 층과 조립하여 카트리지를 형성하는 단계를 포함한다. 특정 실시예에서, 방법은 롤러를 포함하는 장치를 조립하는 단계를 포함한다. 특정 실시예에서, 방법은 롤러 아래에 카트리지를 위치설정하는 단계를 포함한다. 특정 실시예에서, 표면층은 엘라스토머를 포함하고, 베이스 층은 하나 이상의 채널을 포함하고, 그리고/또는 하나 이상의 채널 중 적어도 몇몇은 실질적으로 삼각형 형상의 단면을 갖는다.
특정 실시예에서, 펌프를 제조하는 방법은 본 명세서에 설명된 방법에 의해 본 명세서에 설명된 장치를 제조하는 단계 및/또는 본 명세서에 설명된 방법에 의해 본 명세서에 설명된 카트리지를 제조하는 단계를 포함한다.
몇몇 실시예에서, 방법은 장치가 기판 표면과(예를 들어, 본 명세서에 설명된 채널의 표면층과) 맞물리고 그리고/또는 분리되도록 본 명세서에서 설명된 장치를 동작시키는 단계를 포함한다. 몇몇 실시예에서, 방법은 롤러가 기판 표면과(예를 들어, 본 명세서에 설명된 채널의 표면층과) 맞물리고 그리고/또는 분리되도록 크랭크(예를 들어, 본 명세서에서 설명된 장치의 크랭크)를 회전시키는 단계를 포함한다. 몇몇 실시예에서, 기판 표면은 카트리지의 표면층(예를 들어, 엘라스토머를 포함하는 표면층)의 외부 표면이다. 도 3b는 몇몇 실시예에 따른, 방법(400)(예를 들어, 유체를 연동적으로 펌핑하는 방법)이 상부 도면으로부터 하부 도면으로 점진적으로 진행하는 것을 도시하고 있는, 채널(102)의 베이스와 평면내의 채널(102)의 길이를 따른 연동 펌프(300)의 일련의 단면 개략도이다. 몇몇 실시예에서, 기판 표면과 맞물리는 단계는 표면층(예를 들어, 엘라스토머를 포함함)의 제1 부분을 유체를 포함하는 채널로 변형(예를 들어, 탄성 변형)하여, 표면층의 제1 부분의 내부 표면이 표면층의 제1 부분의 내부 표면에 근접한 채널의 베이스 및/또는 벽의 제1 부분과 접촉하게 되는 단계를 포함한다. 예를 들어, 도 3b의 도시되어 있는 방법은 특정 실시예에 따라, 엘라스토머를 포함하는 표면층(106)의 제1 부분(116)을 유체(112)를 포함하는 채널(102)로 탄성 변형하여(예를 들어, 롤러(220)로, 예를 들어, 엘라스토머를 포함하는 롤러로), 표면층(106)의 제1 부분(116)의 내부 표면(113)이 표면층(106)의 제1 부분(116)의 내부 표면(113)에 근접한 채널(102)의 베이스 및/또는 벽의 제1 부분(115)과 접촉하게 되는 단계를 포함한다(상부 도면 내지 중앙 도면). 도 3c는 몇몇 실시예에 따른, 채널(102)의 베이스와 평면내의 채널(102)의 폭을 따른 연동 펌프(300)의 단면 개략도이다. 도면은 도 3b의 중앙 도면의 다른 도면이다. 엘라스토머를 포함하는 표면층(106)의 제1 부분(116)은 유체(112)를 포함하는 채널(102)로(도 3c에 도시되어 있지 않음) 변형(예를 들어, 탄성 변형)되어(예를 들어, 롤러(220)로, 예를 들어 엘라스토머를 포함하는 롤러로), 표면층(106)의 제1 부분(116)의 내부 표면(113)이 표면층(106)의 제1 부분(116)의 내부 표면(113)에 근접한 채널(102)의 베이스 및/또는 벽의 제1 부분(115)과 접촉하게 된다. 몇몇 실시예에서, 표면층(106)은 채널(102)의 표면 개구를 밀봉하도록 구성된다.
몇몇 실시예에서, 기판 표면으로부터 분리하는 단계는 유체를 포함하는 채널 내의 표면층(예를 들어, 엘라스토머를 포함하는 표면층)의 제1 부분으로부터 변형(예를 들어, 탄성 변형)을 제거하여, 표면층의 제1 부분의 내부 표면이 표면층의 제1 부분의 내부 표면에 근접한 채널의 베이스 및/또는 벽의 제1 부분과 더 이상 접촉하지 않게 되는 단계를 포함한다.
몇몇 실시예에서, 방법은 본 명세서에 설명된 표면층(예를 들어, 엘라스토머를 포함하는 표면층)의 제1 부분을 유체를 포함하는 채널로 변형(예를 들어, 탄성 변형)하여, 표면층의 제1 부분의 내부 표면이 표면층의 제1 부분의 내부 표면에 근접한 채널의 베이스 및/또는 벽의 제1 부분과 접촉하게 되는 단계를 포함한다. 특정 실시예에서, 표면층의 제1 부분을 변형하는 단계는 롤러로 표면층의 제1 부분을 변형하는 단계를 포함한다. 특정 실시예에서, 표면층의 제1 부분을 변형하는 단계는 표면층의 제1 부분을 탄성 변형하는 단계를 포함한다.
몇몇 실시예에서, 방법은 표면층의 제2 부분의 내부 표면이 표면층의 제2 부분의 내부 표면에 근접한 채널의 베이스 및/또는 벽의 제2 부분에 접촉하도록 표면층의 제2 부분에 이 변형(예를 들어, 탄성 변형)을 병진하는 단계를 포함한다. 예를 들어, 도 3b의 도시되어 있는 방법은 몇몇 실시예에 따라, 표면층(106)의 제2 부분(118)의 내부 표면(117)이 표면층(106)의 제2 부분(118)의 내부 표면(117)에 근접한 채널(102)의 베이스 및/또는 벽의 제2 부분(119)과 접촉하도록 이 탄성 변형을 표면층(106)의 제2 부분(118)으로 병진시키는 단계를 포함한다(중앙 도면에서 하부 도면으로). 몇몇 실시예에서, 탄성 변형을 병진시키는 단계는 방향(121)으로 유체(112)의 순 유동을 야기한다. 몇몇 실시예에서, 표면층(106)은 채널(102)의 표면 개구를 밀봉하도록 구성된다. 특정 실시예에서, 표면층의 제2 부분으로 변형을 병진시키는 단계는 표면층의 제2 부분의 내부 표면이 표면층의 제2 부분의 내부 표면에 근접한 채널의 베이스 및/또는 벽의 제2 부분과 접촉하도록 표면층을 따라 롤러를 롤링하는 단계를 포함한다.
본 명세서에 사용될 때, 표면층에 관한 용어 "내부 표면"은 채널 내로 향하는 표면을 칭하기 위해 사용되고, 반면 표면층의 "외부 표면"은 채널 외부의 환경을 향하는 표면을 칭하기 위해 사용된다. 예를 들어, 마이크로채널은 내부 표면과 외부 표면을 가질 수도 있다.
본 명세서에 사용될 때, 표면층의 부분의 내부 표면과 채널의 베이스 및/또는 벽의 부분 사이의 거리에 관한 용어 "근접"은 채널의 길이를 따라 서로 가까운 베이스 및/또는 벽 및 내부 표면의 각각의 부분을 칭한다. 근접 부분은, 예를 들어 채널의 일 단부에 있는 내부 표면의 부분 및 채널의 다른 단부에 있는 벽 및/또는 베이스의 부분에 대조적으로, 일반적으로 서로 가깝다. 예를 들어, 근접 부분은 마이크로채널의 길이를 따라 서로 가까운 내부 표면과 벽 및/또는 베이스의 각각의 부분을 칭할 수도 있다.
본 명세서에 사용될 때, 용어 "제1 부분" 및 "제2 부분"은 적어도 부분적으로 중첩되는 부분 또는 중첩을 갖지 않는 부분을 칭할 수도 있다. 예를 들어, 제1 부분과 제2 부분은 실질적으로 중첩될 수도 있다.
본 명세서에 사용될 때, 용어 "병진"은 통상의 기술자에게 알려져 있을 것이며, 위치를 변경하는 것을 칭한다. 예를 들어, 병진은 변형(예를 들어, 탄성 변형)의 위치를 변경하는 것을 칭할 수도 있다.
본 명세서에 사용될 때, 용어 "변형"은 통상의 기술자에게 알려져 있을 것이며, 인가된 힘에 응답하는 물품의 형상의 변화를 칭한다. 예를 들어, 변형은 인가된 힘에 응답하여 표면층으로의 형상의 변화를 칭할 수도 있다.
본 명세서에 사용될 때, 용어 "탄성 변형"은 통상의 기술자에게 알려져 있을 것이며, 인가된 힘의 제거시에 자발적으로 역전되는 인가된 힘에 응답하는 물품의 형상의 일시적인 변화를 칭한다. 예를 들어, 탄성 변형은 인가된 힘의 제거시에 자발적으로 역전되는 인가된 힘에 응답하는 표면층의 형상의 일시적인 변화를 칭할 수도 있다.
도 4a는 몇몇 실시예에 따른, 장치, 디바이스 또는 시스템을 제조하는 방법(500)을 예시하는 흐름도이다. 예시된 바와 같이, 단계 502에서, 크랭크 아암, 로커 아암, 및 롤러가 연결 아암에 연결된다. 예를 들어, 하위 단계 503에 표시된 바와 같이, 롤러는 롤러 아암을 사용하여 연결 아암에 연결될 수도 있다. 하위 단계 503은 예를 들어, 스프링을 포함하는 힌지에 의해 롤러 아암을 연결 아암에 연결하는 단계를 포함할 수도 있다.
단계 502 전, 중 또는 후에, 단계 504에서, 로커 아암의 샤프트가 크랭크 아암의 샤프트에 연결되어 로커 샤프트의 회전축이 크랭크 샤프트의 회전축에 대해 고정 유지되게 된다. 예를 들어, 하위 단계 505에 표시된 바와 같이, 로커 아암의 샤프트는 샤프트를 캐리지에 연결함으로써 크랭크 아암의 샤프트에 연결될 수도 있다.
단계 502 및 504 전, 중 또는 후에, 단계 508에서, 크랭크 아암의 샤프트는 크랭크 모터에 연결될 수도 있다.
단계 502, 504 및 508 전, 중 또는 후, 단계 510에서, 캐리지가 병진기 로드 및 병진기 나사에 연결될 수도 있다.
단계 502, 504, 508, 및 510 전, 중 또는 후에, 단계 512에서, 병진기 나사는 병진기 모터에 연결될 수도 있다.
선택적으로, 단계 502, 504, 508, 510 및 512 전에, 단계 506에 예시된 바와 같이, 크랭크 아암, 로커 아암, 연결 아암, 및/또는 롤러가 수정, 기계가공 및/또는 사출 성형될 수도 있다. 예를 들어, 하위 단계 507에 표시된 바와 같이, 크랭크 아암, 로커 아암, 연결 아암, 롤러, 롤러 아암, 캐리지, 병진기 로드 및/또는 병진기 나사가 수정, 기계가공 및/또는 사출 성형될 수도 있다. 특정 실시예에서, 하위 단계 507에서, 하나 이상의 기계적 구성요소(예를 들어, 하위 단계 507에 열거된 것들 중 적어도 일부)의 열가소성 사출 성형 및/또는 정밀 사출 성형이 수반될 수도 있다.
도 4b는 몇몇 실시예에 따른, 장치, 디바이스 또는 시스템을 사용하는 방법(550)을 도시하고 있는 흐름도이다. 장치(예를 들어, 단계 502, 504, 508, 510, 512, 및/또는 506을 사용하여 구성된 장치)를 사용하는 것은 단계 514에서 시작할 수도 있다. 단계 514에서, 크랭크는 롤러가 기판 표면과 맞물리고 그리고/또는 분리되도록 회전된다. 예를 들어, 단계 514에서 기판 표면은 카트리지의 표면층의 외부 표면일 수도 있다. 단계 514가 기판 표면과 맞물리는 것을 포함하는 경우 선택적 단계 516에서, 기판 표면과 맞물리는 단계는 엘라스토머를 포함하는 표면층의 제1 부분을 유체를 포함하는 채널로 변형하여, 표면층의 제1 부분의 내부 표면이 표면층의 제1 부분의 내부 표면에 근접한 채널의 베이스 및/또는 벽의 제1 부분과 접촉하게 되는 단계를 포함할 수도 있다. 표면층의 제1 부분을 변형하는 단계는 표면층의 제1 부분을 탄성 변형하는 단계를 포함할 수도 있다. 채널은 마이크로채널일 수도 있다. 선택적 단계 518에서, 크랭크는 기판 표면으로부터 분리되도록 더 회전될 수도 있다. 기판 표면으로부터 분리하는 단계는 유체를 포함하는 채널 내의 엘라스토머를 포함하는 표면층의 제1 부분으로부터 변형을 제거하여, 표면층의 제1 부분의 내부 표면이 표면층의 제1 부분의 내부 표면에 근접한 채널의 베이스 및/또는 벽의 제1 부분과 더 이상 접촉하지 않게 되는 단계를 포함할 수도 있다. 표면층의 제1 부분의 변형은 표면층의 제1 부분의 탄성 변형일 수도 있다.
도 4c는 몇몇 실시예에 따른, 카트리지, 디바이스 또는 시스템을 제조하는 방법(600)을 예시하는 흐름도이다. 예시된 바와 같이, 단계 602에서, 표면층을 포함하는 표면 물품은 카트리지를 형성하기 위해 베이스 층과 조립되고, 표면층은 엘라스토머를 포함하고, 베이스 층은 하나 이상의 채널을 포함하고, 하나 이상의 채널 중 적어도 몇몇은 실질적으로 삼각형 형상의 단면을 갖는다. 예를 들어, 하위 단계 603에 표시된 바와 같이, 조립은 표면층의 일 면에서 표면층을 베이스 층에 레이저 용접, 음파 용접, 및/또는 접착하는 것 및/또는 표면층의 다른 면에서 표면층을 밀봉 플레이트에 레이저 용접, 음파 용접, 및/또는 접착하는 것을 포함할 수도 있다. 하위 단계 603은 접착제를 사용하여, 표면층의 일 면에서 표면층을 베이스 층에 그리고/또는 표면층의 다른 면에서 표면층을 밀봉 플레이트에 접착하는 단계를 포함할 수도 있다. 하위 단계 605에 표시된 바와 같이, 조립은 엘라스토머를 포함하는 표면층을 베이스 층과 밀봉 플레이트 사이에 조립하여 카트리지를 형성하는 단계를 포함할 수도 있다.
특정 실시예에서, 밀봉 플레이트는 하나 이상의 관통 구멍을 포함한다. 예시된 바와 같이, 단계 602 전에, 단계 608에서, 밀봉 플레이트의 하나 이상의 관통 구멍이 베이스 층의 대응하는 하나 이상의 채널과 정렬될 수도 있다.
단계 602 전에, 그리고 선택적으로 단계 608 전에, 단계 604에서, 엘라스토머를 포함하는 표면층은 미리 제조된 시트 스톡으로부터 다이-절단될 수도 있다. 대안적으로, 단계 602 전에, 그리고 선택적으로 단계 608 전에, 단계 606에서, 엘라스토머를 포함하는 표면층은 하나 이상의 관통 구멍을 포함하는 밀봉 플레이트 상에 오버몰딩되어 표면 물품을 형성할 수도 있고, 여기서, 표면 물품은 밀봉 플레이트를 더 포함한다.
단계 602 전에, 선택적으로 단계 608 전에, 추가로 선택적으로 단계 606 전에, 단계 610에서 카트리지의 하나 이상의 기계적 구성요소가 사출 성형될 수도 있다. 단계 610에서의 사출 성형은 정밀 사출 성형 및/또는 경강 툴링에 이한 사출 성형을 수반할 수도 있다. 단계 610에서 사출 성형될 수도 있는 기계적 구성요소의 비한정적인 예는 베이스 층 상의 밀봉 플레이트를 포함할 수 있다.
도 4d는 몇몇 실시예에 따른, 카트리지, 디바이스 또는 시스템을 사용하는 방법(650)을 도시하고 있는 흐름도이다. 카트리지(예를 들어, 단계 602, 604, 606, 608, 및/또는 610을 사용하여 구성된 카트리지)를 사용하는 것은 단계 612에서 시작할 수도 있다. 단계 612에서, 엘라스토머를 포함하는 표면층의 제1 부분이 유체를 포함하는 채널 내의 변형을 제거하여, 표면층의 제1 부분의 내부 표면이 표면층의 제1 부분의 내부 표면에 근접한 채널의 베이스 및/또는 벽의 제1 부분과 접촉하게 된다. 이어서, 단계 614에서, 이 변형은 표면층의 제2 부분의 내부 표면이 표면층의 제2 부분의 내부 표면에 근접한 채널의 베이스 및/또는 벽의 제2 부분에 접촉하도록 표면층의 제2 부분에 병진되고; 표면층은 일반적으로 채널의 표면 개구를 밀봉하도록 구성된다. 채널은 마이크로채널일 수도 있다. 표면층의 제1 부분을 변형하는 단계는 표면층의 제1 부분을 탄성 변형하는 단계를 포함할 수도 있다. 표면층의 제1 부분을 변형하는 단계는 롤러로 표면층의 제1 부분을 변형하는 단계를 포함할 수도 있다. 표면층의 제2 부분으로 변형을 병진시키는 단계는 표면층의 제2 부분의 내부 표면이 표면층의 제2 부분의 내부 표면에 근접한 채널의 베이스 및/또는 벽의 제2 부분과 접촉하도록 표면층을 따라 롤러를 롤링하는 단계를 포함할 수도 있다.
샘플 준비 및 하류 분석을 수반하는 예시적인 실시예
전술된 바와 같이, 본 개시내용의 특정 양태는 유체의 펌핑(예를 들어, 샘플의 준비를 위한)과 관련된 시스템 및 디바이스(예를 들어, 펌프, 장치, 카트리지)에 관한 것이다. 본 개시내용의 양태는 분석을 위해 샘플을 준비하고 그리고/또는 샘플 내의 하나 이상의 표적 분자를 분석(예를 들어, 시퀀싱에 의해 분석)하기 위해 프로세스에서 사용하기 위한 방법, 조성물, 시스템 및 디바이스를 추가로 제공한다. 펌프 및 관련 디바이스(예를 들어, 장치, 카트리지)는 몇몇 이러한 샘플 준비 프로세스의 부분으로서 사용될 수도 있다. 예를 들어, 펌프 및 관련 디바이스(예를 들어, 장치, 카트리지)는 샘플 준비 프로세스가 수행되는 샘플 준비 모듈에 포함될 수도 있다. 몇몇 실시예에서, 펌프 및 관련 디바이스(예를 들어, 장치, 카트리지)는 샘플 준비 프로세스의 상류 또는 하류 단계를 수행하도록 구성된다. 몇몇 실시예에서, 표적 분자는 핵산(예를 들어, cDNA, 게놈 DNA, mRNA, 및 이들의 유도체 및 단편을 비한정적으로 포함하는 DNA 또는 RNA)이다. 몇몇 실시예에서, 표적 분자는 단백질 또는 폴리펩타이드이다.
샘플 준비 프로세스
몇몇 실시예에서, 샘플은 정제된 샘플, 세포 용해물, 단일 세포, 세포의 집단 또는 조직일 수도 있다. 몇몇 실시예에서, 본 명세서에 설명된 프로세스는 샘플 내의 하나 이상의 표적 분자의 아이덴티티 또는 시퀀스(예를 들어, 뉴클레오티드 시퀀스 또는 아미노산 시퀀스)를 포함하여, 샘플의 속성 또는 특성을 식별하는 데 사용될 수도 있다. 몇몇 실시예에서, 프로세스는 샘플 용해, 샘플 정제, 샘플 단편화, 단편화된 샘플의 정제, 라이브러리 준비(예를 들어, 핵산 라이브러리 준비), 라이브러리 준비의 정제, 샘플 농축(예를 들어, 친화도 SCODA 사용), 및/또는 표적 분자의 검출/분석과 같은 하나 이상의 샘플 변환 단계를 포함할 수도 있다.
몇몇 실시예에서, 샘플(예를 들어, 세포 또는 조직을 포함하는 샘플)은 본 개시내용에 따른 프로세스에서 용해되거나 그렇지 않으면 소화될 수도 있다. 몇몇 실시예에서, 세포 또는 조직을 포함하는 샘플은 공지의 물리적 또는 화학적 방법론 중 어느 하나를 사용하여 용해되어 상기 세포 또는 조직으로부터 표적 분자(예를 들어, 표적 핵산 또는 표적 단백질)를 방출한다. 몇몇 실시예에서, 샘플은 전해 방법, 효소 방법, 세제 기반 방법 및/또는 기계적 균질화를 사용하여 용해될 수도 있다. 몇몇 실시예에서, 샘플(예를 들어, 복합 조직, 그램 양성 또는 그램 음성 박테리아)은 직렬로 수행되는 다중 용해 방법을 요구할 수도 있다. 몇몇 실시예에서, 샘플이 세포 또는 조직을 포함하지 않는 경우(예를 들어, 정제된 핵산을 포함하는 샘플), 용해 단계가 생략될 수도 있다.
몇몇 실시예에서, 샘플(예를 들어, 핵산 또는 단백질)은 본 개시내용에 따른 프로세스에서, 예를 들어 용해 후 정제될 수도 있다. 몇몇 실시예에서, 샘플은 크로마토그래피(예를 들어, 샘플에 선택적으로 결합하는 친화도 크로마토그래피) 또는 전기영동을 사용하여 정제될 수도 있다. 몇몇 실시예에서, 샘플은 침전제의 존재 하에 정제될 수도 있다. 몇몇 실시예에서, 정제 단계 또는 방법 후에, 샘플은 용리 완충제를 사용하여 정제 매트릭스(예를 들어, 친화도 크로마토그래피 매트릭스)로부터 세정 및/또는 방출될 수도 있다. 몇몇 실시예에서, 정제 단계 또는 방법은 전기활성 폴리머와 같은 가역적으로 전환 가능한 폴리머의 사용을 포함할 수도 있다. 몇몇 실시예에서, 샘플은 다공성 매트릭스(예를 들어, 셀룰로오스 아세테이트, 아가로스, 아크릴아미드)를 통한 샘플의 전기영동 통과에 의해 정제될 수도 있다.
몇몇 실시예에서, 샘플(예를 들어, 핵산 또는 단백질)은 본 개시내용에 따른 프로세스에서 단편화될 수도 있다. 몇몇 실시예에서, 핵산 샘플은 긴 판독 시퀀싱 애플리케이션을 위한 큰(최대 10+ 킬로베이스) 단편에 대한 시퀀스 특정 식별을 위한 작은(<1 킬로베이스) 단편을 생성하도록 단편화될 수도 있다. 핵산의 단편화는 몇몇 실시예에서, 기계적(예를 들어, 유체 전단), 화학적(예를 들어, Fe 절단) 및/또는 효소적(예를 들어, 제한 효소, 유전자전위효소를 사용한 태그멘테이션) 방법을 사용하여 달성될 수도 있다. 몇몇 실시예에서, 단백질 샘플은 단편화되어 임의의 길이의 펩타이드 단편을 생성할 수도 있다. 단백질의 단편화는 몇몇 실시예에서, 화학적 및/또는 효소적(예를 들어, 트립신과 같은 단백질 분해 효소) 방법을 사용하여 달성될 수도 있다. 몇몇 실시예에서, 평균 단편 길이는 반응 시간, 온도 및 샘플 및/또는 효소(예를 들어, 제한 효소, 유전자전위효소)의 농도에 의해 제어될 수도 있다. 몇몇 실시예에서, 핵산은 핵산이 동시에 단편화되고 형광 분자(예를 들어, 형광단)로 표지되도록 태그멘테이션에 의해 단편화될 수도 있다. 몇몇 실시예에서, 단편화된 샘플은 단편화 단계 동안 사용된 잔류 페이로드, 화학물 및/또는 효소 뿐만 아니라 작은 및/또는 바람직하지 않은 단편을 제거하기 위해 한 라운드의 정제(예를 들어, 크로마토그래피 또는 전기영동)를 받게 될 수도 있다.
몇몇 실시예에서, 핵산 샘플이 본 개시내용에 따른 프로세스에서 후속 분석(예를 들어, 게놈 시퀀싱)을 위한 핵산 라이브러리를 생성하는 데 사용될 수도 있다. 핵산 라이브러리는 선형 라이브러리 또는 원형 라이브러리일 수도 있다. 몇몇 실시예에서, 원형 라이브러리의 핵산은 하류 선형화(예를 들어, 엔도뉴클레아제 제한 부위, 우라실의 합체)를 허용하는 요소를 포함할 수도 있다. 몇몇 실시예에서, 핵산 라이브러리는 (예를 들어, 크로마토그래피, 예를 들어 친화도 크로마토그래피를 사용하여) 또는 전기영동을 통해 정제될 수도 있다.
몇몇 실시예에서, 샘플(예를 들어, 핵산 또는 단백질)은 본 개시내용에 따른 프로세스에서 표적 분자에 대해 농축될 수도 있다. 몇몇 실시예에서, 샘플은 전기영동 방법을 사용하여 표적 분자에 대해 농축된다. 몇몇 실시예에서, 샘플은 친화도 SCODA를 사용하여 표적 분자에 대해 농축된다. 몇몇 실시예에서, 샘플은 필드 반전 겔 전기영동(FIGE)을 사용하여 표적 분자에 대해 농축된다. 몇몇 실시예에서, 샘플은 펄스 필드 겔 전기영동(PFGE)을 사용하여 표적 분자에 대해 농축된다. 몇몇 실시예에서, 농축 동안 사용되는 매트릭스(예를 들어, 다공성 매질, 전기영동 폴리머 겔)는 샘플에 존재하는 표적 분자에 결합하는 고정된 포획 프로브를 포함한다. 몇몇 실시예에서, 농축 동안 사용되는 매트릭스는 1, 2, 3, 4, 5개, 또는 그 이상의 고유한 고정된 포획 프로브를 포함하고, 이들 각각은 고유한 표적 분자에 결합하고 그리고/또는 상이한 결합 친화도로 동일한 표적 분자에 결합한다. 몇몇 경우에, 이러한 겔 기반 농축 방법은 본 명세서에 설명된 카트리지에 연결되거나 그 내에 위치된 하나 이상의 겔을 사용하여 수행될 수 있다.
몇몇 실시예에서, 고정된 포획 프로브는 표적 핵산에 혼성화하는 올리고뉴클레오티드 포획 프로브이다. 몇몇 실시예에서, 올리고뉴클레오티드 포획 프로브는 표적 핵산에 대해 적어도 50%, 60%, 70%, 80%, 90%, 95%, 또는 100% 상보적이다. 몇몇 실시예에서, 단일 올리고뉴클레오티드 포획 프로브가 적어도 50%, 60%, 70%, 80%, 90%, 95%, 또는 99% 시퀀스 아이덴티티를 공유하는 복수의 관련 표적 핵산(예를 들어, 2, 3, 4, 5, 6, 7, 8, 9, 10, 20, 30, 40, 50, 또는 그 이상의 관련된 표적 핵산)을 농축하는 데 사용될 수도 있다. 복수의 관련된 표적 핵산의 농축은 메타게놈 라이브러리의 생성을 허용할 수도 있다. 몇몇 실시예에서, 올리고뉴클레오티드 포획 프로브는 관련된 표적 핵산의 차등 농축을 가능하게 할 수도 있다. 몇몇 실시예에서, 올리고뉴클레오티드 포획 프로브는 그 개질 상태(예를 들어, 메틸화 상태, 아세틸화 상태)가 상이한 동일한 시퀀스의 핵산에 비교하여 표적 핵산의 농축을 가능하게 할 수도 있다.
몇몇 실시예에서, 0.5 내지 2 킬로베이스의 길이를 갖는 핵산 표적 분자를 농축하기 위해, 올리고뉴클레오티드 포획 프로브는 5' 아크리다이트 모이어티(Acrydite moiety)를 사용하여 아크릴아미드 매트릭스에 공유적으로 고정될 수도 있다. 몇몇 실시예에서, 더 큰 핵산 표적 분자(예를 들어, >2 킬로베이스의 길이를 갖는)를 농축하기 위해, 올리고뉴클레오티드 포획 프로브는 아가로스 매트릭스에 고정될 수도 있다. 몇몇 실시예에서, 올리고뉴클레오티드 포획 프로브는 티올-에폭사이드 화학물을 사용하여(예를 들어, 가교된 아가로스 비드에 공유적으로 부착된 티올-개질된 올리고뉴클레오티드에 의해) 아가로스 매트릭스에 고정될 수도 있다. 아가로스 비드에 연결된 올리고뉴클레오티드 포획 프로브는 표준 아가로스 매트릭스 내에서 조합 및 고화될 수 있다(예를 들어, 동일한 아가로스 백분율로).
몇몇 실시예에서, 고정된 포획 프로브는 표적 단백질 또는 펩타이드 단편에 결합하는 단백질 포획 프로브(예를 들어, 앱타머 또는 항체)이다. 몇몇 실시예에서, 단백질 포획 프로브는 10-9 내지 10-8 M, 10-8 내지 10-7 M, 10-7 내지 10-6 M, 10-6 내지 10-5 M, 10-5 내지 10-4 M, 10-4 내지 10-3 M, 또는 10-3 내지 10-2 M의 결합 친화도로 표적 단백질 또는 펩타이드 단편에 결합한다. 몇몇 실시예에서, 결합 친화도는 피코몰 내지 나노몰 범위(예를 들어, 약 10-12 내지 약 10-9 M)이다. 몇몇 실시예에서, 결합 친화도는 나노몰 내지 마이크로몰 범위(예를 들어, 약 10-9 내지 약 10-6 M)이다. 몇몇 실시예에서, 결합 친화도는 마이크로몰 내지 밀리몰 범위(예를 들어, 약 10-6 내지 약 10-3 M)이다. 몇몇 실시예에서, 결합 친화도는 피코몰 내지 마이크로몰 범위(예를 들어, 약 10-12 내지 약 10-6 M)이다. 몇몇 실시예에서, 결합 친화도는 나노몰 내지 밀리몰 범위(예를 들어, 약 10-9 내지 약 10-3 M)이다. 몇몇 실시예에서, 단일 단백질 포획 프로브가 적어도 50%, 60%, 70%, 80%, 90%, 95% 또는 99% 시퀀스 아이덴티티를 공유하는 복수의 관련된 표적 단백질을 농축하는 데 사용될 수도 있다. 몇몇 실시예에서, 단일 단백질 포획 프로브가 적어도 50%, 60%, 70%, 80%, 90%, 95%, 또는 99% 시퀀스 상동성을 공유하는 복수의 관련 표적 단백질(예를 들어, 2, 3, 4, 5, 6, 7, 8, 9, 10, 20, 30, 40, 50, 또는 그 이상의 관련된 표적 단백질)을 농축하는 데 사용될 수도 있다. 복수의 관련된 표적 단백질의 농축은 메타프로테오믹스 라이브러리의 생성을 허용할 수도 있다. 몇몇 실시예에서, 단백질 포획 프로브는 관련된 표적 단백질의 차등 농축을 가능하게 할 수도 있다.
몇몇 실시예에서, 다중 포획 프로브(예를 들어, 아데노바이러스, 포도상구균, 폐렴 또는 결핵과 같은 감염체의 결정론적 표적 분자에 결합하는, 예를 들어 다중 포획 프로브 유형의 집단)는 농축 매트릭스에 고정될 수도 있다. 다수의 결정론적 포획 프로브를 갖는 농축 매트릭스에 샘플의 애플리케이션은 질병 또는 상태(예를 들어, 감염체의 존재)를 진단할 수도 있다.
몇몇 실시예에서, 표적 분자 또는 관련된 표적 분자는 본 개시내용에 따른 프로세스에서, 비-표적 분자의 제거 후에 농축 매트릭스로부터 방출될 수도 있다. 몇몇 실시예에서, 표적 분자는 농축 매트릭스의 온도를 증가시킴으로써 농축 매트릭스로부터 방출될 수도 있다. 매트릭스의 온도를 조정하는 것은 증가된 온도가 더 높은 포획 프로브 엄격성을 제공하여 표적 분자와 포획 프로브 사이에 더 큰 결합 친화도를 요구함에 따라 이동 속도에 추가로 영향을 미친다. 몇몇 실시예에서, 관련된 표적 분자를 농축할 때, 매트릭스 온도는 상동성이 계속 증가하는 단계에서 표적 분자를 방출 및 격리하기 위해 단계적 방식으로 점진적으로 증가될 수도 있다. 이는 초기 참조 표적 분자와 관련하여 점점 더 멀어지는 표적 단백질 또는 표적 핵산의 시퀀싱을 허용하여, 신규 단백질(예를 들어, 효소) 또는 기능(예를 들어, 효소 기능 또는 유전자 기능)의 발견을 가능하게 할 수도 있다. 몇몇 실시예에서, 다중 포획 프로브(예를 들어, 다중 결정론적 포획 프로브)를 사용할 때, 매트릭스 온도는 단계적 또는 구배 방식으로 증가되어, 상이한 표적 분자의 온도 의존적 방출을 허용하고 표본 및 표적 분자의 존재 또는 부재를 나타내는 일련의 바코드 부착된 방출 밴드의 생성을 야기할 수도 있다.
몇몇 실시예에서, 표적 분자 또는 표적 분자들은 본 개시내용에 따른 프로세스에서, 상기 표적 분자(들) 및 그 상류 샘플의 분석을 가능하게 하는 농축 및 후속 방출 후에 최종적으로 검출될 수도 있다. 몇몇 실시예에서, 표적 핵산은 유전자 시퀀싱, 흡광도, 형광, 전기 전도도, 정전용량, 표면 플라즈몬 공명, 하이브리드 포획, 항체, 핵산의 직접 표지화(예를 들어, 말단 표지화, 표지된 태그멘테이션 페이 로드), 인터칼레이팅 염료(예를 들어, 에티듐 브로마이드, SYBR 염료)로의 비특이적 표지화 또는 핵산 검출을 위한 다른 알려진 방법론을 사용하여 검출될 수도 있다. 몇몇 실시예에서, 표적 단백질 또는 펩타이드 단편은 흡광도, 형광, 질량 분광법, 아미노산 시퀀싱, 또는 단백질 또는 펩타이드 검출을 위한 임의의 다른 알려진 방법을 사용하여 검출될 수도 있다.
샘플 준비 모듈 및 디바이스
분석용 샘플을 준비하는 프로세스에 사용하기 위한 장치, 카트리지(예를 들어, 채널(예를 들어, 미세유체 채널)을 포함함) 및/또는 펌프(예를 들어, 본 개시내용에 설명된 것들과 같은 연동 펌프)를 포함하는 모듈 또는 디바이스가 일반적으로 제공된다. 모듈 또는 디바이스는 생물학적 샘플로부터 표적 분자의 포획, 농도, 조작 및/또는 검출을 가능하게 하기 위해 본 개시내용에 따라 사용될 수 있다. 몇몇 실시예에서, 차세대 시퀀싱 및/또는 다른 하류 분석 기술을 위한 재료를 생산하기 위한 샘플의 자동화된 처리를 위한 디바이스 및 관련 방법이 제공된다. 모듈, 디바이스 및 관련 방법은 본 명세서의 다른 곳에서 설명된 샘플 준비 또는 샘플 분석 프로세스에 따라 핵산 및/또는 단백질 처리에 대한 반응을 포함하여, 화학적 및/또는 생물학적 반응을 수행하기 위해 사용될 수도 있다.
몇몇 실시예에서, 샘플 준비 모듈 또는 디바이스(예를 들어, 샘플 준비 모듈(1700))는 시퀀싱 모듈 또는 디바이스에 표적 분자 또는 복수의 분자(예를 들어, 표적 핵산 또는 표적 단백질)를 포함하는 샘플을 전달 또는 이송하기 위해 위치된다. 몇몇 실시예에서, 샘플 준비 모듈 또는 디바이스는 시퀀싱 디바이스에 직접적으로(예를 들어, 물리적으로 부착됨) 또는 간접적으로 연결된다. 전술된 바와 같이, 몇몇 실시예에서 이러한 연결은 영구적일 수도 있고, 반면 몇몇 실시예에서 이러한 연결은 가역적(디커플링 가능)일 수도 있다.
몇몇 실시예에서, 모듈 또는 디바이스는 하나 이상의 카트리지를 수용하도록 구성된다. 몇몇 실시예에서, 카트리지는 유체를 수용하고 그리고/또는 샘플 준비 프로세스에서 사용되는 하나 이상의 시약을 포함하도록 구성된 하나 이상의 저장조 또는 반응 용기를 포함한다. 몇몇 실시예에서, 카트리지는 샘플 준비 프로세스에 사용되는 유체(예를 들어, 하나 이상의 시약을 포함하는 유체)를 포함 및/또는 수송하도록 구성된 하나 이상의 채널(예를 들어, 미세유체 채널)을 포함한다. 시약은 완충제, 효소 시약, 폴리머 매트릭스, 포획 시약, 크기 특정 선택 시약, 시퀀스 특정 선택 시약 및/또는 정제 시약을 포함한다. 샘플 준비 프로세스에 사용하기 위한 부가의 시약은 본 명세서의 다른 곳에 설명되어 있다. 예를 들어, 샘플 준비 단계(예를 들어, 핵산, 펩타이드 또는 단백질 분석, 시퀀싱 또는 식별)에 대해 전술된 시약 중 임의의 하나(또는 그 조합)가 사용되고 그리고/또는 카트리지(예를 들어, 채널, 저장조 및/또는 카트리지의 반응 용기)에 존재할 수도 있다.
몇몇 실시예에서, 카트리지는 하나 이상의 저장된 시약(예를 들어, 액체 형태로 재구성하기에 적합한 액체 또는 동결 건조 형태의)을 포함한다. 카트리지의 저장된 시약은 원하는 프로세스를 수행하기 위해 적합한 시약 및/또는 원하는 샘플 유형을 처리하기 위해 적합한 시약을 포함한다. 몇몇 실시예에서, 카트리지는 단일 사용 카트리지(예를 들어, 일회용 카트리지) 또는 다중 사용 카트리지(예를 들어, 재사용 가능 카트리지)이다. 몇몇 실시예에서, 카트리지는 사용자 공급 샘플을 수용하도록 구성된다. 사용자 공급 샘플은 카트리지가 디바이스에 수용되기 전 또는 후에, 예를 들어 사용자에 의해 수동으로 또는 자동화된 프로세스에서 카트리지에 추가될 수도 있다.
본 개시내용에 따른 디바이스 및 모듈은 일반적으로 본 명세서에 설명된 카트리지를 동작하는 데 사용될 수 있는 기계 및 전자 및/또는 광학 구성요소를 포함한다. 몇몇 실시예에서, 디바이스 또는 모듈 구성요소는 카트리지 또는 카트리지의 특정 영역에서 특정 온도를 달성하고 유지하도록 동작한다. 몇몇 실시예에서, 디바이스 구성요소는 카트리지의 전극에 특정 시간 기간 동안 특정 전압을 인가하도록 동작한다. 몇몇 실시예에서, 디바이스 또는 모듈 구성요소는 카트리지의 저장조 및/또는 반응 용기로, 이들로부터 또는 이들 사이에서 액체를 이동시키도록 동작한다. 몇몇 실시예에서, 디바이스 또는 모듈 구성요소는 카트리지의 채널(들)을 통해, 예를 들어 카트리지의 저장조 및/또는 반응 용기로, 이들로부터 또는 이들 사이에서 액체를 이동시키도록 동작한다. 전술된 바와 같이, 몇몇 실시예에서, 디바이스 또는 모듈 구성요소는 카트리지의 엘라스토머, 시약 특정 저장조 또는 반응 용기와 상호 작용하는 연동 펌핑 메커니즘(예를 들어, 장치)을 통해 액체를 이동시킨다. 몇몇 실시예에서, 디바이스 또는 모듈 구성요소는 채널을 통해 유체를 펌핑하기 위해 카트리지의 채널과 연관된 엘라스토머 구성요소(예를 들어, 엘라스토머를 포함하는 표면층)와 상호 작용하도록 구성된 연동 펌핑 메커니즘(예를 들어, 장치)을 통해 액체를 이동시킨다. 디바이스 또는 모듈 구성요소는 예를 들어 샘플 정보가 입력될 수 있고, 특정 프로세스가 선택될 수 있고, 실행 결과가 보고될 수 있는 사용자 인터페이스를 구동하기 위한 컴퓨터 자원을 포함할 수 있다.
이하의 비한정적인 예는 본 명세서에 설명된 디바이스, 방법 및 조성물의 양태를 예시하도록 의도된 것이다. 본 개시내용에 따른 샘플 준비 모듈 또는 디바이스의 사용은 이하의 설명된 단계 중 하나 이상으로 진행될 수도 있다. 사용자는 디바이스의 덮개를 개방하고 원하는 프로세스를 지원하는 카트리지를 삽입할 수도 있다. 사용자는 이어서 특정 용해 용액과 조합될 수도 있는 샘플을 카트리지의 샘플 포트에 추가할 수도 있다. 사용자는 이어서 디바이스 덮개를 폐쇄하고, 디바이스 상의 터치스크린 인터페이스를 통해 임의의 샘플 특정 정보를 입력하고, 임의의 프로세스 특정 파라미터(예를 들어, 원하는 크기 선택의 범위, 표적 분자 포획의 원하는 상동성 정도 등)를 선택하고, 샘플 준비 프로세스 실행을 개시할 수도 있다.
실행 후, 사용자는 관련 실행 데이터(예를 들어, 실행의 성공적인 완료의 확인, 실행 특정 메트릭 등), 뿐만 아니라 프로세스 특정 정보(예를 들어, 생성된 샘플의 양, 특정 표적 시퀀스의 존재 또는 부재 등)를 수신할 수도 있다. 실행에 의해 생성된 데이터는 로컬 또는 클라우드 기반일 수 있는 후속 생물 정보공학 분석을 받게 될 수도 있다. 프로세스에 따라, 완성된 샘플은 후속 사용을 위해 카트리지로부터 추출될 수도 있다(예를 들어, 게놈 시퀀싱, qPCR 정량화, 클로닝 등). 후속 사용은 예를 들어, 펩타이드 또는 단백질 시퀀싱을 포함할 수도 있다. 디바이스는 이어서 개방될 수도 있고, 카트리지는 이어서 제거될 수도 있다.
게놈 시퀀싱 프로세스
본 개시내용의 몇몇 양태는 핵산(예를 들어, 데옥시리보핵산 또는 리보핵산)의 시퀀싱을 더 수반한다. 몇몇 양태에서, 본 명세서에 설명된 조성물, 디바이스, 시스템 및 기술은 핵산에 합체된 일련의 뉴클레오티드를 식별하는 데(예를 들어, 일련의 표지된 뉴클레오티드의 합체의 시간 경과를 검출함으로써) 사용될 수 있다. 몇몇 실시예에서, 본 명세서에 설명된 조성물, 디바이스, 시스템 및 기술은 중합 효소(예를 들어, RNA 중합효소)에 의해 합성된 템플레이트-의존성 핵산 시퀀싱 반응 생성물에 합체된 일련의 뉴클레오티드를 식별하는 데 사용될 수 있다.
이에 따라, 표적 핵산의 시퀀스를 결정하는 방법이 또한 본 명세서에 제공된다. 몇몇 실시예에서, 표적 핵산은 표적 핵산의 시퀀스를 결정하기 전에 농축된다(예를 들어, 전기영동 방법, 예를 들어 친화도 SCODA를 사용하여 농축됨). 몇몇 실시예에서, 샘플(예를 들어, 정제된 샘플, 세포 용해물, 단일 세포, 세포의 집단 또는 조직) 내에 존재하는 복수의 핵산(예를 들어, 적어도 2, 3, 4, 5, 10, 15, 20, 30, 50 또는 그 이상)의 시퀀스를 결정하는 방법이 본 명세서에 제공된다. 몇몇 실시예에서, 샘플은 샘플 내에 존재하는 표적 핵산 또는 복수의 핵산의 시퀀스를 결정하기 전에 본 명세서에 설명된 바와 같이 준비된다(예를 들어, 표적 핵산에 대해 용해, 정제, 단편화 및/또는 농축됨). 몇몇 실시예에서, 표적 핵산은 농축된 표적 핵산(예를 들어, 전기영동 방법, 예를 들어 친화도 SCODA를 사용하여 농축됨)이다.
몇몇 실시예에서, 시퀀싱 방법은 (i) 표적 체적의 복합체를 하나 이상의 표지된 뉴클레오티드에 노출시키는 단계로서, 복합체는 표적 핵산 또는 샘플 내에 존재하는 복수의 핵산, 적어도 하나의 프라이머, 및 중합 효소를 포함하는, 노출 단계; (ii) 하나 이상의 여기 에너지, 또는 하나 이상의 여기 에너지의 일련의 펄스를 표적 체적 근방을 향해 유도하는 단계; (iii) 적어도 하나의 프라이머 중 하나를 포함하는 핵산에 순차적으로 합체 중에 하나 이상의 표지된 뉴클레오티드로부터 복수의 방출된 광자를 검출하는 단계; 및 (iv) 방출된 광자의 하나 이상의 특성을 결정함으로써 합체된 뉴클레오티드의 시퀀스를 식별하는 단계를 포함한다.
다른 양태에서, 본 개시내용은 복수의 핵산 단편을 시퀀싱함으로써 샘플 내에 존재하는 표적 핵산 또는 복수의 핵산을 시퀀싱하는 방법을 제공하고, 여기서, 표적 핵산은 단편을 포함한다. 특정 실시예에서, 방법은 복수의 단편 시퀀스를 조합하여 모 핵산(예를 들어, 모 표적 핵산)에 대한 시퀀스 또는 부분 시퀀스를 제공하는 단계를 포함한다. 몇몇 실시예에서, 조합 단계는 컴퓨터 하드웨어 및 소프트웨어에 의해 수행된다. 본 명세서에 설명된 방법은 전체 염색체 또는 게놈과 같은 관련 핵산의 세트(예를 들어, 샘플 내에 존재하는 2개 이상의 핵산)가 시퀀싱되게 할 수도 있다.
몇몇 실시예에서, 프라이머는 시퀀싱 프라이머이다. 몇몇 실시예에서, 시퀀싱 프라이머는 고체 지지부에 고정될 수도 있거나 고정되지 않을 수도 있는 핵산(예를 들어, 표적 핵산)에 어닐링될 수 있다. 고체 지지부는 예를 들어, 핵산 시퀀싱을 위해 사용되는 칩 또는 카트리지 상의 샘플 우물(예를 들어, 나노개구, 반응 챔버)을 포함할 수 있다. 몇몇 실시예에서, 시퀀싱 프라이머는 고체 지지부에 고정될 수도 있고 핵산(예를 들어, 표적 핵산)의 혼성화는 핵산 분자를 고체 지지부에 추가로 고정한다. 몇몇 실시예에서, 중합효소(예를 들어, RNA 중합효소)는 고체 지지부에 고정되고 가용성 시퀀싱 프라이머 및 핵산은 중합효소에 접촉된다. 몇몇 실시예에서, 중합효소, 핵산(예를 들어, 표적 핵산) 및 프라이머를 포함하는 복합체가 용액 내에 형성되고 복합체는 고체 지지부에 고정된다(예를 들어, 중합효소, 프라이머 및/또는 표적 핵산의 고정을 통해). 몇몇 실시예에서, 성분 중 어느 것도 고체 지지부에 고정되지 않는다. 예를 들어, 몇몇 실시예에서, 중합효소, 표적 핵산 및 시퀀싱 프라이머를 포함하는 복합체는 제자리에서 형성되고 복합체는 고체 지지부에 고정되지 않는다.
몇몇 실시예에서, 합성 방법에 의한 시퀀싱은 표적 핵산 분자의 집단(예를 들어, 표적 핵산의 사본)의 존재 및/또는 표적 핵산의 집단을 달성하기 위한 표적 핵산의 증폭 단계(예를 들어, 중합효소 연쇄 반응(PCR))를 포함할 수 있다. 그러나, 몇몇 실시예에서, 합성에 의한 시퀀싱은 평가되는 임의의 하나의 반응에서 단일 핵산 분자의 시퀀스를 결정하는 데 사용되고 핵산 증폭은 표적 핵산을 준비하는 데 요구되지 않을 수도 있다. 몇몇 실시예에서, 복수의 단일 분자 시퀀싱 반응은 본 개시내용의 양태에 따라 병렬로(예를 들어, 단일 칩 또는 카트리지 상에서) 수행된다. 예를 들어, 몇몇 실시예에서, 복수의 단일 분자 시퀀싱 반응이 각각 단일 칩 또는 카트리지 상의 개별 샘플 우물(예를 들어, 나노개구, 반응 챔버)에서 수행된다.
단백질 시퀀싱 프로세스
본 개시내용의 양태는 또한 단백질 시퀀싱 및 식별 방법, 폴리펩타이드 시퀀싱 및 식별 방법, 아미노산 식별 방법, 및 이러한 방법을 수행하기 위한 조성물, 시스템 및 디바이스를 수반한다. 이러한 단백질 시퀀싱 및 식별은 몇몇 실시예에서, 본 명세서에 더 상세히 설명된 샘플 준비 및/또는 게놈 시퀀싱을 수행하는 동일한 기기로 수행된다. 몇몇 양태에서, 표적 단백질의 시퀀스를 결정하는 방법이 설명된다. 몇몇 실시예에서, 표적 단백질은 표적 단백질의 시퀀스를 결정하기 전에 농축된다(예를 들어, 전기영동 방법, 예를 들어 친화도 SCODA를 사용하여 농축됨). 몇몇 양태에서, 샘플(예를 들어, 정제된 샘플, 세포 용해물, 단일 세포, 세포의 집단 또는 조직) 내에 존재하는 복수의 단백질(예를 들어, 적어도 2, 3, 4, 5, 10, 15, 20, 30, 50 또는 그 이상)의 시퀀스를 결정하는 방법이 설명된다. 몇몇 실시예에서, 샘플은 샘플 내에 존재하는 표적 단백질 또는 복수의 단백질의 시퀀스를 결정하기 전에 본 명세서에 설명된 바와 같이 준비된다(예를 들어, 표적 단백질에 대해 용해, 정제, 단편화 및/또는 농축됨). 몇몇 실시예에서, 표적 단백질은 농축된 표적 단백질(예를 들어, 전기영동 방법, 예를 들어 친화도 SCODA를 사용하여 농축됨)이다.
몇몇 실시예에서, 본 개시내용은 혼합물로부터 단백질의 하나 이상의 유형의 아미노산을 식별함으로써 복수의 단백질을 포함하는 샘플에서 개별 단백질을 시퀀싱 및/또는 식별하는 방법을 제공한다. 몇몇 실시예에서, 단백질의 하나 이상의 아미노산(예를 들어, 말단 아미노산 또는 내부 아미노산)이 표지되고(예를 들어, 직접적으로 또는 예를 들어 결합제를 사용하여 간접적으로) 단백질 내의 표지된 아미노산의 상대 위치가 결정된다. 몇몇 실시예에서, 단백질 내의 아미노산의 상대 위치는 일련의 아미노산 표지화 및 절단 단계를 사용하여 결정된다. 몇몇 실시예에서, 단백질 내의 표지된 아미노산의 상대 위치는 단백질로부터 아미노산을 제거하지 않고 표지된 단백질을 공극(예를 들어, 단백질 채널)을 통해 전위시키고 단백질 분자 내의 표지된 아미노산의 상대 위치를 결정하기 위해 공극을 통한 전위 동안 표지된 아미노산(들)으로부터 신호(예를 들어, 포스터 공명 에너지 전달(FRET) 신호)를 검출함으로써 결정될 수 있다.
몇몇 실시예에서, 말단 아미노산(예를 들어, N-말단 또는 C-말단 아미노산)의 아이덴티티는 말단 아미노산이 제거되고 말단 단부에서 다음 아미노산의 아이덴티티가 평가되기 전에 결정되고; 이 프로세스는 단백질 내의 복수의 연속적인 아미노산이 평가될 때까지 반복될 수도 있다. 몇몇 실시예에서, 아미노산의 아이덴티티를 평가하는 것은 존재하는 아미노산의 유형을 결정하는 것을 포함한다. 몇몇 실시예에서, 아미노산의 유형을 결정하는 것은 실제 아미노산 아이덴티티를 결정하는 것(예를 들어, 개별 말단 아미노산에 특정한 결합제를 사용하여, 예를 들어 천연 발생 20개 아미노산 중 어느 것이 아미노산인지를 결정하는 것)을 포함한다. 그러나, 몇몇 실시예에서, 말단 아미노산 유형의 아이덴티티를 평가하는 것은 단백질의 말단에 존재할 수 있는 잠재적 아미노산의 서브세트를 결정하는 것을 포함할 수 있다. 몇몇 실시예에서, 이는 아미노산이 하나 이상의 특정 아미노산이 아닌 것을 결정함으로써(즉, 따라서 임의의 다른 아미노산일 수 있음) 달성될 수 있다. 몇몇 실시예에서, 이는 아미노산의 특정 서브세트(예를 들어, 크기, 전하, 소수성, 결합 속성에 기초하여) 중 어느 것이 단백질의 말단에 있을 수 있는지를 결정함으로써(예를 들어, 2개 이상의 말단 아미노산의 특정 서브세트에 결합하는 결합제를 사용하여) 달성될 수 있다.
몇몇 실시예에서, 단백질 또는 폴리펩타이드는 복수의 더 작은 단백질 또는 폴리펩타이드로 소화될 수 있고 시퀀스 정보는 이들 더 작은 단백질 또는 폴리펩타이드 중 하나 이상으로부터 획득될 수 있다(예를 들어, 단백질의 말단 아미노산을 순차적으로 평가하고 그 아미노산을 제거하여 말단에서 다음 아미노산을 노출하는 것을 수반하는 방법을 사용하여).
몇몇 실시예에서, 단백질은 그 아미노(N) 말단으로부터 시퀀싱된다. 몇몇 실시예에서, 단백질은 그 카르복시(C) 말단으로부터 시퀀싱된다. 몇몇 실시예에서, 단백질의 제1 말단(예를 들어, N 또는 C 말단)은 고정되고 다른 말단(예를 들어, C 또는 N 말단)은 본 명세서에 설명된 바와 같이 시퀀싱된다.
본 명세서에 사용될 때, 단백질 시퀀싱은 단백질에 대한 시퀀스 정보를 결정하는 것을 칭한다. 몇몇 실시예에서, 이는 단백질의 일부(또는 모두)에 대한 각각의 순차적 아미노산의 아이덴티티를 결정하는 것을 수반할 수 있다. 몇몇 실시예에서, 이는 단편(예를 들어, 표적 단백질의 단편 또는 복수의 단백질을 포함하는 샘플의 단편)의 아이덴티티를 결정하는 것을 수반할 수 있다. 몇몇 실시예에서, 이는 단백질 내의 아미노산 서브세트의 아이덴티티를 평가하는 것(예를 들어, 단백질 내의 각각의 아미노산의 아이덴티티를 결정하지 않고 하나 이상의 아미노산 유형의 상대 위치를 결정하는 것)을 수반할 수 있다. 몇몇 실시예에서 아미노산 함량 정보는 단백질 내의 상이한 유형의 아미노산의 상대 위치를 직접적으로 결정하지 않고 단백질로부터 획득될 수 있다. 아미노산 함량만이 존재하는 단백질의 아이덴티티를 추론하기 위해 사용될 수도 있다(예를 들어, 아미노산 함량을 단백질 정보의 데이터베이스와 비교하고 어느 단백질(들)이 동일한 아미노산 함량을 갖는지를 결정함으로써).
몇몇 실시예에서, 표적 단백질 또는 복수의 단백질을 포함하는 샘플로부터 획득된(예를 들어, 효소 및/또는 화학 절단을 통해) 복수의 단백질 단편에 대한 시퀀스 정보는 표적 단백질 또는 샘플 내에 존재하는 복수의 단백질의 시퀀스를 재구성하거나 추론하기 위해 분석될 수 있다. 이에 따라, 몇몇 실시예에서, 하나 이상의 유형의 아미노산은 하나 이상의 유형의 아미노산에 선택적으로 결합하는 하나 이상의 표지된 친화도 시약의 발광을 검출함으로써 식별된다. 몇몇 실시예에서, 하나 이상의 유형의 아미노산은 표지된 단백질의 발광을 검출함으로써 식별된다.
몇몇 실시예에서, 본 개시내용은 시간 경과에 따라 단백질의 말단에 존재하는 일련의 아미노산을 식별함으로써(예를 들어, 말단에서 아미노산의 반복적 검출 및 절단에 의해) 단백질을 시퀀싱하기 위한 조성물, 디바이스 및 방법을 제공한다. 또 다른 실시예에서, 본 개시내용은 단백질의 표지된 아미노 함량을 식별하고 참조 시퀀스 데이터베이스와 비교함으로써 단백질을 시퀀싱하기 위한 조성물, 디바이스 및 방법을 제공한다.
몇몇 실시예에서, 본 개시내용은 단백질의 복수의 단편을 시퀀싱함으로써 단백질을 시퀀싱하기 위한 조성물, 디바이스 및 방법을 제공한다. 몇몇 실시예에서, 단백질을 시퀀싱하는 것은 단백질에 대한 시퀀스를 식별 및/또는 결정하기 위해 복수의 단백질 단편에 대한 시퀀스 정보를 조합하는 것을 포함한다. 몇몇 실시예에서, 시퀀스 정보를 조합하는 것은 컴퓨터 하드웨어 및 소프트웨어에 의해 수행될 수도 있다. 본 명세서에 설명된 방법은 유기체의 전체 프로테옴과 같은 관련 단백질의 세트가 시퀀싱되게 할 수도 있다. 몇몇 실시예에서, 복수의 단일 분자 시퀀싱 반응은 본 개시내용의 양태에 따라 병렬로(예를 들어, 단일 칩 또는 카트리지 상에서) 수행된다. 예를 들어, 몇몇 실시예에서, 복수의 단일 분자 시퀀싱 반응이 각각 단일 칩 또는 카트리지 상의 개별 샘플 우물에서 수행된다.
몇몇 실시예에서, 본 명세서에 제공된 방법은 복수의 단백질을 포함하는 샘플에서 개별 단백질의 시퀀싱 및 식별을 위해 사용될 수도 있다. 몇몇 실시예에서, 본 개시내용은 복수의 단백질을 포함하는 샘플에서 개별 단백질을 고유하게 식별하는 방법을 제공한다. 몇몇 실시예에서, 개별 단백질은 단백질의 부분 아미노산 시퀀스를 결정함으로써 혼합 샘플에서 검출된다. 몇몇 실시예에서, 단백질의 부분 아미노산 시퀀스는 대략 5 내지 50, 10 내지 50, 25 내지 50, 25 내지 100, 또는 50 내지 100개 아미노산의 인접 스트레치 내에 있다.
임의의 특정 이론에 구속되기를 원하지 않고, 대부분의 인간 단백질은 프로테옴 데이터베이스를 참조하여 불완전한 시퀀스 정보를 사용하여 식별될 수 있을 것으로 예상된다. 예를 들어, 인간 프로테옴의 간단한 모델링은 6 내지 40개 아미노산의 스트레치 내의 단지 4개 유형의 아미노산을 검출함으로써 단백질의 대략 98%가 고유하게 식별될 수 있음을 보여주었다(예를 들어, Swaminathan, et al. PLoS Comput Biol. 2015, 11(2):e1004080; and Yao, et al. Phys. Biol. 2015, 12(5):055003 참조). 따라서, 복수의 단백질을 포함하는 샘플은 대략 6 내지 40개 아미노산의 짧은 단백질 단편으로 단편화(예를 들어, 화학적 분해, 효소 분해)될 수 있으며, 이 단백질 기반 라이브러리의 시퀀싱은 원래 샘플에 존재하는 각각의 단백질의 아이덴티티 및 과다를 드러낼 것이다. 선택적 아미노산 표지화 및 부분 시퀀스 정보를 결정함으로써 폴리펩타이드를 식별하기 위한 조성물 및 방법은 2015년 9월 15일 출원된 발명의 명칭이 "단일 분자 펩타이드 시퀀싱(SINGLE MOLECULE PEPTIDE SEQUENCING)"인 미국 특허 출원 제15/510,962호에 상세히 설명되어 있고, 이 출원은 그대로 본 명세서에 참조로서 합체되어 있다.
본 개시내용에 따른 시퀀싱은, 몇몇 양태에서, 기판(예를 들어, 본 명세서에 설명된 바와 같은 시퀀싱 디바이스의 고체 지지부, 예를 들어 칩 또는 카트리지)의 표면 상에 단백질(예를 들어, 표적 단백질)을 고정하는 것을 수반할 수도 있다. 몇몇 실시예에서, 단백질은 기판 상의 샘플 우물의 표면(예를 들어, 샘플 우물의 하단 표면)에 고정될 수도 있다. 몇몇 실시예에서, 단백질의 N-말단 아미노산은 고정된다(예를 들어, 표면에 부착됨). 몇몇 실시예에서, 단백질의 C-말단 아미노산은 고정된다(예를 들어, 표면에 부착됨). 몇몇 실시예에서, 하나 이상의 비-말단 아미노산이 고정된다(예를 들어, 표면에 부착됨). 고정된 아미노산(들)은 예를 들어 본 개시내용에 설명된 바와 같이, 임의의 적합한 공유 또는 비공유 결합을 사용하여 부착될 수 있다. 몇몇 실시예에서, 복수의 단백질은 예를 들어 기판 상의 샘플 우물의 어레이에서 복수의 샘플 우물에 부착된다(예를 들어, 하나의 단백질이 각각의 샘플 우물의 표면, 예를 들어 하단 표면에 부착됨).
시퀀싱 모듈 디바이스
몇몇 양태에서, 본 개시내용에 따른 핵산 또는 단백질의 시퀀싱은 단일 분자 분석을 허용하는 시스템을 사용하여 수행될 수도 있다. 시스템은 시퀀싱 모듈 또는 디바이스 및 시퀀싱 디바이스와 인터페이싱하도록 구성된 기기를 포함할 수도 있다. 전술된 바와 같이, 몇몇 실시예에서, 검출 모듈(1800)은 이러한 시퀀싱 모듈 또는 디바이스를 포함한다. 시퀀싱 모듈 또는 디바이스는 픽셀의 어레이를 포함할 수도 있고, 여기서 개별 픽셀은 샘플 우물 및 적어도 하나의 광검출기를 포함한다. 시퀀싱 디바이스의 샘플 우물은 시퀀싱 디바이스의 표면 상에 또는 표면을 통해 형성될 수도 있고, 시퀀싱 디바이스의 표면 상에 배치된 샘플을 수용하도록 구성될 수도 있다. 몇몇 실시예에서, 샘플 우물은 디바이스에 삽입될 수 있는 카트리지(예를 들어, 일회용 또는 단일 사용 카트리지)의 구성요소이다. 종합적으로, 샘플 우물은 샘플 우물의 어레이로서 고려될 수도 있다. 복수의 샘플 우물은 샘플 우물의 적어도 일부가 복수의 분자(예를 들어, 표적 핵산 또는 표적 단백질)를 포함하는 단일 표적 분자 또는 샘플을 수용하도록 적합한 크기 및 형상을 가질 수도 있다. 몇몇 실시예에서, 샘플 우물 내의 분자의 수는 몇몇 샘플 우물이 하나의 분자(예를 들어, 표적 핵산 또는 표적 단백질)를 포함하고 반면 다른 샘플 우물은 0, 2, 또는 복수의 분자를 포함하도록 시퀀싱 디바이스의 샘플 우물 사이에 분배될 수도 있다.
몇몇 실시예에서, 시퀀싱 모듈 또는 디바이스는 샘플 준비 디바이스로부터 표적 분자 또는 복수의 분자(예를 들어, 표적 핵산 또는 표적 단백질)를 포함하는 샘플을 수용하도록 위치된다. 몇몇 실시예에서, 시퀀싱 디바이스는 샘플 준비 디바이스에 직접적으로(예를 들어, 물리적으로 부착됨) 또는 간접적으로 연결된다. 그러나, 샘플 준비 디바이스와 시퀀싱 디바이스 또는 모듈(또는 임의의 다른 유형의 검출 모듈) 사이의 연결이 반드시 모든 실시예에 필요한 것은 아니다. 몇몇 실시예에서, 표적 분자 또는 복수의 분자(예를 들어, 표적 핵산, 표적 단백질)를 포함하는 샘플은 샘플 준비 디바이스(예를 들어, 샘플 준비 모듈)로부터 시퀀싱 모듈 또는 디바이스로 직접적으로(예를 들어, 표적 분자 또는 샘플의 조성을 변경하는 임의의 개재 단계 없이) 또는 간접적으로(예를 들어, 표적 분자 또는 샘플의 조성을 변경할 수도 있는 하나 이상의 추가 처리 단계를 수반하여) 수동으로 수송된다. 수동 수송은 예를 들어, 수동 피펫팅 또는 관련 기술 분야에 알려진 적합한 수동 기술을 통한 수송을 수반할 수도 있다.
여기 광은 시퀀싱 디바이스 외부의 하나 이상의 광원으로부터 시퀀싱 디바이스로 제공된다. 시퀀싱 디바이스의 광학 구성요소는 광원으로부터 여기 광을 수신하고 시퀀싱 디바이스의 샘플 우물의 어레이를 향해 광을 유도하고 샘플 우물 내의 조명 영역을 조명할 수도 있다. 몇몇 실시예에서, 샘플 우물은 표적 분자 또는 복수의 분자를 포함하는 샘플이 샘플 우물의 표면에 근접하여 유지되게 하는 구성을 가질 수도 있는데, 이는 샘플 우물로의 여기 광의 전달 및 표적 분자 또는 복수의 분자를 포함하는 샘플로부터의 방출 광의 검출을 용이하게 할 수도 있다. 조명 영역 내에 위치된 표적 분자 또는 복수의 분자를 포함하는 샘플은 여기 광에 의해 조명되는 것에 응답하여 방출 광을 방출할 수도 있다. 예를 들어, 핵산 또는 단백질(또는 복수의 이들)은 여기 광의 조명을 통해 여기 상태를 달성하는 것에 응답하여 광을 방출하는 형광 마커로 표지될 수도 있다. 표적 분자 또는 복수의 분자를 포함하는 샘플에 의해 방출된 방출 광은 이어서 표적 분자 또는 분석되는 복수의 분자를 포함하는 샘플과 함께 샘플 우물에 대응하는 픽셀 내의 하나 이상의 광검출기에 의해 검출될 수도 있다. 몇몇 실시예에 따라 대략 10,000 픽셀 내지 1,000,000 픽셀의 수의 범위일 수도 있는 샘플 우물의 어레이를 가로질러 수행될 때, 다수의 샘플 우물이 병렬로 분석될 수 있다.
시퀀싱 모듈 또는 디바이스는 여기 광을 수신하고 샘플 우물 어레이 사이에 여기 광을 유도하는 광학 시스템을 포함할 수도 있다. 광학 시스템은 여기 광을 시퀀싱 디바이스에 커플링하고 여기 광을 다른 광학 구성요소로 유도하도록 구성된 하나 이상의 격자 커플러를 포함할 수도 있다. 광학 시스템은 격자 커플러로부터 샘플 우물 어레이를 향해 여기 광을 유도하는 광학 구성요소를 포함할 수도 있다. 이러한 광학 구성요소는 광학 스플리터, 광학 결합기 및 도파로를 포함할 수도 있다. 몇몇 실시예에서, 하나 이상의 광학 스플리터는 격자 커플러로부터의 여기 광을 커플링하고 여기 광을 도파로 중 적어도 하나에 전달할 수도 있다. 몇몇 실시예에 따르면, 광학 스플리터는 여기 광의 전달이 모든 도파로를 가로질러 실질적으로 균일하게 하여 각각의 도파로가 실질적으로 유사한 양의 여기 광을 수신하게 하는 구성을 가질 수도 있다. 이러한 실시예는 시퀀싱 디바이스의 샘플 우물에 의해 수신된 여기 광의 균일성을 개선함으로써 시퀀싱 디바이스의 성능을 개선시킬 수도 있다. 예를 들어, 시퀀싱 디바이스에 포함되도록, 여기 광을 샘플 우물에 커플링하고 그리고/또는 방출 광을 광검출기로 유도하기 위한 적합한 구성요소의 예는 2015년 8월 7일 출원된 발명의 명칭이 "분자를 프로빙, 검출 및 분석하기 위한 통합 디바이스(INTEGRATED DEVICE FOR PROBING, DETECTING AND ANALYZING MOLECULES)"인 미국 특허 출원 제14/821,688호, 및 2014년 11월 17일 출원된 발명의 명칭이 "분자를 프로빙, 검출 및 분석하기 위한 외부 광원을 갖는 통합 디바이스(INTEGRATED DEVICE WITH EXTERNAL LIGHT SOURCE FOR PROBING, DETECTING, AND ANALYZING MOLECULES)"인 미국 특허 출원 제14/543,865호에 설명되어 있으며, 이들 출원의 모두는 그대로 본 명세서에 참조로서 합체되어 있다. 시퀀싱 디바이스에서 구현될 수도 있는 적합한 격자 커플러 및 도파로의 예는 2017년 12월 15일 출원된 발명의 명칭이 "광학 커플러 및 도파로 시스템(OPTICAL COUPLER AND WAVEGUIDE SYSTEM)"인 미국 특허 출원 제15/844,403호에 설명되어 있으며, 이 출원은 그대로 본 명세서에 참조로서 합체되어 있다.
부가의 광자 구조체가 샘플 우물과 광검출기 사이에 위치되고 여기 광이 광검출기에 도달하는 것을 감소시키거나 방지하도록 구성될 수도 있고, 이는 그렇지 않으면 방출 광을 검출할 때 신호 노이즈에 기여할 수도 있다. 몇몇 실시예에서, 시퀀싱 디바이스에 대한 회로로서 작용할 수도 있는 금속 층은 또한 공간 필터로서 작용할 수도 있다. 적합한 광자 구조의 예는 스펙트럼 필터, 편광 필터, 및 공간 필터를 포함할 수도 있고 2018년 7월 23일 출원된 발명의 명칭이 "광학 거부 광자 구조체(OPTICAL REJECTION PHOTONIC STRUCTURES)"인 미국 특허 출원 제16/042,968호에 설명되어 있으며, 이 출원은 그대로 본 명세서에 참조로서 합체되어 있다.
시퀀싱 모듈 또는 디바이스 외부에 위치된 구성요소는 사용하여 여기 소스를 시퀀싱 디바이스에 위치시키고 정렬하는 데 사용될 수도 있다. 이러한 구성요소는 렌즈, 미러, 프리즘, 윈도우, 애퍼처, 감쇠기 및/또는 광섬유를 포함하는 광학 구성요소를 포함할 수도 있다. 부가의 기계적 구성요소가 하나 이상의 정렬 구성요소의 제어를 허용하기 위해 기기에 포함될 수도 있다. 이러한 기계적 구성요소는 액추에이터, 스테퍼 모터 및/또는 손잡이를 포함할 수도 있다. 적합한 여기 소스 및 정렬 메커니즘의 예는 2016년 5월 20일 출원된 발명의 명칭이 "펄스 레이저 및 시스템(PULSED LASER AND SYSTEM)"인 미국 출원 제15/161,088호에 설명되어 있으며, 이 출원은 그대로 본 명세서에 참조로서 합체되어 있다. 빔-스티어링 모듈의 다른 예는 2017년 12월 14일 출원된 발명의 명칭이 "소형 빔 성형 및 스티어링 조립체(COMPACT BEAM SHAPING AND STEERING ASSEMBLY)"인 미국 특허 출원 제15/842,720호에 설명되어 있으며, 이 출원은 그대로 본 명세서에 참조로서 합체되어 있다. 적합한 여기 소스의 부가의 예는 2015년 8월 7일 출원된 발명의 명칭이 "분자를 프로빙, 검출 및 분석하기 위한 통합 디바이스(INTEGRATED DEVICE FOR PROBING, DETECTING AND ANALYZING MOLECULES)"인 미국 특허 출원 제14/821,688호에 설명되어 있고, 이 출원은 그대로 본 명세서에 참조로서 합체되어 있다.
시퀀싱 모듈 또는 디바이스의 개별 픽셀과 함께 위치된 광검출기(들)는 픽셀의 대응하는 샘플 우물로부터의 방출 광을 검출하도록 구성되고 위치될 수도 있다. 적합한 광검출기의 예는 2015년 8월 7일 출원된 발명의 명칭이 "수신된 광자의 일시적 비닝을 위한 통합 디바이스(INTEGRATED DEVICE FOR TEMPORAL BINNING OF RECEIVED PHOTONS)"인 미국 특허 출원 제14/821,656호에 설명되어 있고, 이 출원은 그대로 본 명세서에 참조로서 합체되어 있다. 몇몇 실시예에서, 샘플 우물과 그 각각의 광검출기(들)는 공통 축을 따라 정렬될 수도 있다. 이 방식으로, 광검출기(들)는 픽셀 내의 샘플 우물과 중첩될 수도 있다.
검출된 방출 광의 특성은 방출 광과 연관된 마커를 식별하기 위한 표시를 제공할 수도 있다. 이러한 특성은 광검출기에 의해 검출된 광자의 도달 시간, 광검출기에 의해 시간 경과에 따라 축적된 광자의 양, 및/또는 2개 이상의 광검출기를 가로지르는 광자의 분포를 포함하는, 임의의 적합한 유형의 특성을 포함할 수도 있다. 몇몇 실시예에서, 광검출기는 샘플의 방출 광과 연관된 하나 이상의 타이밍 특성(예를 들어, 발광 수명)의 검출을 허용하는 구성을 가질 수도 있다. 광검출기는 여기 광의 펄스가 시퀀싱 디바이스를 통해 전파된 후 광자 도달 시간의 분포를 검출할 수도 있고, 도달 시간의 분포는 샘플의 방출 광의 타이밍 특성(예를 들어, 발광 수명에 대한 프록시)의 표시를 제공할 수도 있다. 몇몇 실시예에서, 하나 이상의 광검출기는 마커에 의해 방출된 방출 광의 확률(예를 들어, 발광 강도)의 표시를 제공한다. 몇몇 실시예에서, 복수의 광검출기는 방출 광의 공간적 분포를 캡처하도록 크기 설정되고 배열될 수도 있다. 하나 이상의 광검출기로부터의 출력 신호는 이어서 복수의 마커 중으로부터 마커를 구별하는 데 사용될 수도 있고, 여기서 복수의 마커는 샘플 내의 샘플을 식별하는 데 사용될 수도 있다. 몇몇 실시예에서, 샘플은 다중 여기 에너지에 의해 여기될 수도 있고, 다중 여기 에너지에 응답하여 샘플에 의해 방출되는 방출 광의 방출 광 및/또는 타이밍 특성은 마커를 복수의 마커로부터 구별할 수도 있다.
동작시에, 샘플 우물 내의 샘플의 병렬 분석은 여기 광을 사용하여 우물 내의 샘플 중 일부 또는 모두를 여기시키고 광검출기로 샘플 방출로부터의 신호를 검출함으로써 수행된다. 샘플로부터의 방출 광은 대응 광검출기에 의해 검출되고 적어도 하나의 전기 신호로 변환될 수도 있다. 전기 신호는 시퀀싱 디바이스와 인터페이싱된 기기에 연결될 수도 있는 시퀀싱 디바이스의 회로의 전도 라인을 따라 전송될 수도 있다. 전기 신호는 후속적으로 처리 및/또는 분석될 수도 있다. 전기 신호의 처리 및/또는 분석은 기기 내부 또는 외부에 위치된 적합한 컴퓨팅 디바이스에서 발생할 수도 있다.
기기는 기기 및/또는 시퀀싱 디바이스의 동작을 제어하기 위한 사용자 인터페이스를 포함할 수도 있다. 사용자 인터페이스는 사용자가 기기의 기능을 제어하는 데 사용되는 명령 및/또는 설정과 같은 정보를 기기에 입력하게 하도록 구성될 수도 있다. 몇몇 실시예에서, 사용자 인터페이스는 음성 명령을 위한 버튼, 스위치, 다이얼, 및/또는 마이크로폰을 포함할 수도 있다. 사용자 인터페이스는 사용자가 적절한 정렬 및/또는 시퀀싱 디바이스의 광검출기로부터의 판독 신호에 의해 획득한 정보와 같은, 기기 및/또는 시퀀싱 디바이스의 성능에 대한 피드백을 수신하게 할 수도 있다. 몇몇 실시예에서, 사용자 인터페이스는 가청 피드백을 제공하기 위해 스피커를 사용하여 피드백을 제공할 수도 있다. 몇몇 실시예에서, 사용자 인터페이스는 사용자에게 시각적 피드백을 제공하기 위한 표시등 및/또는 디스플레이 스크린을 포함할 수도 있다.
몇몇 실시예에서, 본 명세서에 설명된 기기 또는 디바이스는 컴퓨팅 디바이스와 연결하도록 구성된 컴퓨터 인터페이스를 포함할 수도 있다. 컴퓨터 인터페이스는 USB 인터페이스, FireWire 인터페이스 또는 임의의 다른 적합한 컴퓨터 인터페이스일 수도 있다. 컴퓨팅 디바이스는 랩탑 또는 데스크탑 컴퓨터와 같은 임의의 범용 컴퓨터일 수도 있다. 몇몇 실시예에서, 컴퓨팅 디바이스는 적합한 컴퓨터 인터페이스를 통해 무선 네트워크를 통해 액세스 가능한 서버(예를 들어, 클라우드 기반 서버)일 수도 있다. 컴퓨터 인터페이스는 기기와 컴퓨팅 디바이스 사이의 정보의 통신을 용이하게 할 수도 있다. 기기를 제어 및/또는 구성하기 위한 입력 정보는 컴퓨팅 디바이스에 제공되고 컴퓨터 인터페이스를 통해 기기에 전송될 수도 있다. 기기에 의해 생성된 출력 정보는 컴퓨터 인터페이스를 통해 컴퓨팅 디바이스에 수신될 수도 있다. 출력 정보는 기기의 성능, 시퀀싱 디바이스의 성능 및/또는 광검출기의 판독 신호로부터 생성된 데이터에 대한 피드백을 포함할 수도 있다.
몇몇 실시예에서, 기기는 시퀀싱 디바이스의 하나 이상의 광검출기로부터 수신된 데이터를 분석하고 그리고/또는 여기 소스(들)에 제어 신호를 전송하도록 구성된 처리 디바이스를 포함할 수도 있다. 몇몇 실시예에서, 처리 디바이스는 범용 프로세서, 및/또는 특수 구성된 프로세서(예를 들어, 하나 이상의 마이크로프로세서 또는 마이크로제어기 코어와 같은 중앙 처리 유닛(CPU), 필드 프로그램 가능 게이트 어레이(FPGA), 주문형 집적 회로(ASIC), 맞춤형 집적 회로, 디지털 신호 프로세서(DSP), 또는 그 조합)를 포함할 수도 있다. 몇몇 실시예에서, 하나 이상의 광검출기로부터의 데이터의 처리는 기기의 처리 디바이스와 외부 컴퓨팅 디바이스의 모두에 의해 수행될 수도 있다. 다른 실시예에서, 외부 컴퓨팅 디바이스는 생략될 수도 있고 하나 이상의 광검출기로부터의 데이터의 처리는 시퀀싱 디바이스의 처리 디바이스에 의해서만 수행될 수도 있다.
몇몇 실시예에 따르면, 발광 방출 특성에 기초하여 표적 분자 또는 복수의 분자를 포함하는 샘플을 분석하도록 구성된 기기는 발광 수명의 차이 및/또는 상이한 발광 분자 사이의 강도, 및/또는 상이한 환경에서 동일한 발광 분자의 수명 및/또는 강도 사이의 차이를 검출할 수도 있다. 본 발명자들은 발광 방출 수명의 차이가 상이한 발광 분자의 존재 또는 부재를 식별하고 그리고/또는 발광 분자가 받는 상이한 환경 또는 조건을 식별하기 위해 사용될 수 있다는 것을 인식하고 이해하였다. 몇몇 경우에, 수명(예를 들어, 방출 파장이 아닌)에 기초하여 발광 분자를 식별하면 시스템의 양태를 단순화할 수 있다. 예로서, 파장 식별 광학 장치(파장 필터, 각각의 파장에 대한 전용 검출기, 상이한 파장의 전용 펄스 광학 소스, 및/또는 회절 광학 장치와 같은)는 수명에 기초하여 발광 분자를 식별할 때 수가 감소되거나 제거될 수도 있다. 몇몇 경우에, 단일 특성 파장에서 동작하는 단일 펄스 광학 소스를 사용하여 광학 스펙트럼의 동일한 파장 영역 내에서 방출하지만 측정 가능하게 상이한 수명을 갖는 상이한 발광 분자를 여기시킬 수도 있다. 동일한 파장 영역에서 방출하는 상이한 발광 분자를 여기하고 식별하기 위해 상이한 파장에서 동작하는 다중 소스보다 단일 펄스 광학 소스를 사용하는 분석 시스템은 동작 및 유지 관리가 덜 복잡할 수도 있고 더 소형일 수도 있고 더 낮은 비용으로 제조될 수도 있다.
발광 수명 분석에 기초한 분석 시스템은 특정 이점을 가질 수도 있지만, 분석 시스템에 의해 획득된 정보의 양 및/또는 검출 정확도는 부가의 검출 기술을 허용함으로써 증가될 수도 있다. 예를 들어, 시스템의 몇몇 실시예는 부가적으로 발광 파장 및/또는 발광 강도에 기초하여 샘플의 하나 이상의 속성을 식별하도록 구성될 수도 있다. 몇몇 구현예에서, 발광 강도는 상이한 발광 표지를 구별하기 위해 추가적으로 또는 대안적으로 사용될 수도 있다. 예를 들어, 몇몇 발광 표지는 그 붕괴율이 유사할 수도 있더라도 상당히 상이한 강도로 방출하거나 그 여기 확률에서 상당한 차이(예를 들어, 적어도 약 35%의 차이)를 가질 수도 있다. 비닝된 신호를 측정된 여기 광에 참조함으로써, 강도 레벨에 기초하여 상이한 발광 표지를 구별하는 것이 가능할 수도 있다.
몇몇 실시예에 따르면, 상이한 발광 수명은 발광 표지의 여기 후 발광 방출 이벤트를 시간 비닝하도록 구성된 광검출기로 구별될 수도 있다. 시간 비닝은 광검출기에 대한 단일 전하 축적 사이클 동안 발생할 수도 있다. 전하 축적 사이클은 시간 비닝 광검출기의 빈에 광 생성 캐리어가 축적되는 판독 이벤트 사이의 간격이다. 시간 비닝 광검출기의 예는 2015년 8월 7일 출원된 발명의 명칭이 "수신된 광자의 일시적 비닝을 위한 통합 디바이스(Integrated Device for Temporal Binning of Received Photons)"인 미국 특허 출원 제14/821,656호에 설명되어 있고, 이 출원은 그대로 본 명세서에 참조로서 합체되어 있다. 몇몇 실시예에서, 시간 비닝 광검출기는 광자 흡수/캐리어 생성 영역에서 전하 캐리어를 생성하고 전하 캐리어를 전하 캐리어 저장 영역의 전하 캐리어 저장 빈으로 직접 전달할 수도 있다. 이러한 실시예에서, 시간 비닝 광검출기는 캐리어 이동/포획 영역을 포함하지 않을 수도 있다. 이러한 시간 비닝 광검출기는 "직접 비닝 픽셀"이라 칭할 수도 있다. 직접 비닝 픽셀을 포함하는 시간 비닝 광검출기의 예는 2017년 12월 22일 출원된 발명의 명칭이 "직접 비닝 픽셀을 갖는 통합 광검출기(Integrated photodetector with direct binning pixel)"인 미국 특허 출원 제15/852,571호에 설명되어 있고, 이 출원은 그대로 본 명세서에 참조로서 합체되어 있다.
몇몇 실시예에서, 동일한 유형의 상이한 수의 형광단이 표적 분자의 상이한 성분(예를 들어, 표적 핵산 또는 표적 단백질) 또는 샘플 내에 존재하는 복수의 분자(예를 들어, 복수의 핵산 또는 복수의 단백질)에 연결될 수도 있어, 각각의 개별 분자는 발광 강도에 기초하여 식별될 수도 있게 된다. 예를 들어, 2개의 형광단은 제1 표지된 분자에 연결될 수도 있고 4개 이상의 형광단은 제2 표지된 분자에 연결될 수도 있다. 형광단의 수가 상이하기 때문에, 상이한 분자와 연관된 여기 및 형광단 방출 확률이 상이할 수도 있다. 예를 들어, 신호 축적 간격 동안 제2 표지된 분자에 대해 더 많은 방출 이벤트가 있을 수도 있어, 빈의 겉보기 강도는 제1 표지된 분자에 대한 것보다 상당히 더 높게 된다.
본 발명자들은 형광단 붕괴율 및/또는 형광단 강도에 기초하여 핵산 또는 단백질을 구별하는 것이 광학 여기 및 검출 시스템의 단순화를 가능하게 할 수도 있다는 것을 인식하고 이해하였다. 예를 들어, 광학 여기는 단일 파장 소스(예를 들어, 다중 소스 또는 다중 상이한 특성 파장에서 동작하는 소스가 아닌 하나의 특성 파장을 생성하는 소스)로 수행될 수도 있다. 부가적으로, 파장 식별 광학 장치 및 필터가 검출 시스템에 필요하지 않을 수도 있다. 또한, 단일 광검출기가 상이한 형광단으로부터의 방출을 검출하기 위해 각각의 샘플 우물에 사용될 수도 있다. 구문 "특성 파장" 또는 "파장"은 제한된 방사선 대역폭 내의 중심 또는 우세한 파장을 칭하는 데 사용된다. 예를 들어, 방사선의 제한된 대역폭은 펄스형 광학 소스에 의해 출력되는 20 nm 대역폭 내의 중심 또는 피크 파장을 포함할 수도 있다. 몇몇 경우에, "특성 파장" 또는 "파장"은 소스에 의해 출력되는 방사선의 총 대역폭 내의 피크 파장을 칭하는 데 사용될 수도 있다.
시퀀싱을 위한 기기 및 칩을 수반하는 예시적인 실시예
전술된 바와 같이, 본 명세서에 설명된 시스템 및 디바이스(예를 들어, 장치, 카트리지, 펌프, 모듈)는 임의의 다양한 분석 기계(예를 들어, 검출 모듈)를 사용하여 임의의 다양한 애플리케이션(예를 들어, 분석 애플리케이션)에 사용될 수 있다. 예시적인 목적으로, 이하에는 몇몇 실시예에 따라 본 개시내용의 연동 펌프에 커플링될 수 있는 시퀀싱(예를 들어, 게놈 시퀀싱 또는 단백질 시퀀싱)을 위한 예시적인 기기 및 대응하는 칩을 설명한다.
몇몇 실시예에서, 검출 모듈은 일회용 칩 구조를 사용하여 하나 이상의 검출 프로세스를 수행하도록 구성된 기기이다. 일회용 칩 구조를 사용하는 검출 프로세스를 수반하는 다음 설명은 단지 예시적이고 비한정적이며, 검출을 위한 임의의 다양한 다른 적합한 기기 및 칩 설계가 사용될 수 있다는 것이 이해되어야 한다. 예를 들어, 특정 실시예에 따르면, 일회용이 아닌 칩을 사용하는 검출 프로세스가 또한 구상된다. 다른 예로서, 몇몇 실시예에서, 검출을 위한 기기(예를 들어, 검출 모듈)는 칩을 요구하지 않을 수도 있으며, 대신에 부품으로서가 아닌 광전자 장치, 반도체 기판, 및 픽셀 자체와 같은 검출 구성요소(예를 들어, 광자 요소)를 포함할 수도 있고, 이러한 구성요소는 칩의 일부이다. 특정 수의 광자 요소(예를 들어, 반도체 기판, 픽셀)를 포함하는 특정 칩이 이하에 설명되고 예시되지만, 칩(또는 기기)은 원하는 만큼 많거나 적은 광자 요소를 포함할 수도 있다는 것이 이해되어야 한다.
일회용 칩에 대한 예시적인 구조(4-100)가 몇몇 실시예에 따라 도 5에 도시되어 있다. 일회용 칩 구조(4-100)는 반도체 기판(4-105)을 갖고 기판 상에 형성된 복수의 픽셀(4-140)을 포함하는 생체 광전자 칩(4-110)을 포함할 수도 있다. 몇몇 실시예에서, 픽셀(4-140)의 행 또는 열에 여기 방사선을 제공하는 행 또는 열 도파로(4-115)가 있을 수도 있다. 여기 방사선은, 예를 들어 광학 포트(4-150)를 통해 도파로에 커플링될 수도 있다. 몇몇 실시예에서, 복수의 도파로(4-115)에 연결되는 하나 이상의 수신 도파로로 집속된 빔으로부터의 여기 방사선을 커플링하기 위해 격자 커플러가 생체 광전자 칩(4-110)의 표면 상에 형성될 수도 있다.
일회용 칩 구조(4-100)는 생체 광전자 칩(4-110) 상의 픽셀 영역 주위에 형성되는 벽(4-120)을 더 포함할 수도 있다. 벽(4-120)은 생체 광전자 칩(4-110)을 지지하는 플라스틱 또는 세라믹 케이싱의 일부일 수도 있다. 벽(4-120)은 적어도 하나의 샘플이 배치될 수도 있고 생체 광전자 칩(4-110)의 표면 상의 반응 챔버와 직접 접촉할 수도 있는 적어도 하나의 저장조(4-130)를 형성할 수도 있다. 벽(4-120)은, 예를 들어 저장조(4-130)의 샘플이 광학 포트(4-150) 및 격자 커플러를 포함하는 영역으로 유동하는 것을 방지할 수도 있다. 몇몇 실시예에서, 일회용 칩 구조(4-100)는 일회용 칩의 외부 표면 상의 전기 접점 및 패키지 내의 상호접속부를 더 포함할 수도 있고, 그에 따라 생체 광전자 칩(4-110) 상의 회로와 일회용 칩이 장착된 기기의 회로 사이에 전기적 연결이 이루어질 수 있다.
몇몇 실시예에서, 반도체 흡수체는 도 5에 도시되어 있는 것과 같은 일회용 칩 구조의 각 픽셀에 통합될 수도 있지만, 반도체 흡수체는 본 명세서에 도시되고 설명된 조립체에만 통합되는 것으로 제한되지 않는다. 본 실시예의 반도체 흡수체는 또한 광학 도파로를 포함하지 않을 수도 있고 그리고/또는 반응 챔버를 포함하지 않을 수도 있는 다른 반도체 디바이스에 통합될 수도 있다. 예를 들어, 본 실시예의 반도체 흡수체는 범위에 걸쳐 하나 또는 다중 파장의 거부가 요구될 수도 있는 광학 센서에 통합될 수도 있다. 몇몇 구현예에서, 본 실시예의 반도체 흡수체는 CCD 및/또는 CMOS 이미징 어레이에 합체될 수도 있다. 예를 들어, 반도체 흡수체는 흡수체가 포토다이오드(들)에 의해 수신된 방사선을 필터링하도록 이미징 어레이의 하나 이상의 픽셀에서 포토다이오드 위에 형성될 수도 있다. 이러한 이미징 어레이는, 예를 들어 여기 방사선이 반도체 흡수체에 의해 우선적으로 감쇠되는 형광 현미경 이미징에 사용될 수도 있다.
몇몇 구현예에 따르면, 조립체에 통합된 반도체 흡수체에 대한 거부 비(Rr)는 10 내지 100의 값을 가질 수 있다. 몇몇 구현예에서, 거부 비(Rr)는 100 내지 500의 값을 가질 수 있다. 몇몇 경우에, 거부 비(Rr)는 500 내지 1000의 값을 가질 수 있다. 몇몇 구현예에서, 거부 비(Rr)는 1000 내지 2000의 값을 가질 수 있다. 몇몇 구현예에서, 거부 비(Rr)는 2000 내지 5000의 값을 가질 수 있다. 반도체 흡수체의 한 가지 가능한 장점은 반도체 흡수층의 두께를 선택함으로써 다층 필터보다 거부 비(Rr)을 더 쉽게 선택할 수 있다는 것이다. 반도체 흡수체의 하나의 가능한 부가의 장점은 산란 여기 방사선이 반사되기보다 흡수될 수 있어(다층 필터의 경우와 같이), 픽셀 사이의 누화를 감소시킬 수 있다는 것이다. 다른 장점은 반도체 흡수층의 표면에 수직으로부터 멀어지는 각도로 입사하는 광선에 대해 반도체 흡수체의 유효 두께가 반도체 흡수층의 실제 두께보다 상당히 더 클 수 있다는 점이다. 또한, 전술한 바와 같이, 다층 필터의 성능이 구성 층 두께에 의존하기 때문에 반도체 흡수체의 성능은 미세 가공 공차로 인한 반도체 흡수층의 두께 변화에 거의 민감하지 않는다.
통합 반도체 흡수체가 고급 분석 기기(예를 들어, 본 명세서에 설명된 샘플 준비 모듈에 연결된 검출 모듈)에서 사용되는 일회용 칩 상의 반응 챔버로부터 방출되는 방사선의 검출을 개선하는 데 사용될 수 있는 예시적인 바이오분석 애플리케이션이 설명되어 있다. 예를 들어, 반도체 흡수체는, 몇몇 경우에, 센서에 입사하는 여기 방사선을 상당히 감소시킬 수 있으며, 이에 의해 반응 챔버로부터 방출된 방사선을 달리 압도할 수 있는 검출된 배경 노이즈를 상당히 감소시킬 수 있다. 몇몇 경우에, 여기 방사선의 거부가 방출 방사선의 감쇠보다 800배 클 수 있어, 센서로부터의 신호 대 노이즈비의 상당한 개선을 유도한다.
기기의 리셉터클에 장착될 때, 일회용 칩은 고급 분석 기기 내의 광학 및 전자 장치와 광학 및 전자 통신할 수 있다. 기기는 외부 인터페이스용 하드웨어를 포함할 수도 있고, 그에 따라 칩으로부터의 데이터가 외부 네트워크로 통신될 수 있다. 실시예에서, "광학"이라는 용어는 자외선, 가시광선, 근적외선, 및 단파장 적외선 스펙트럼 대역을 지칭할 수도 있다. 다양한 샘플에 대해 다양한 유형의 분석을 수행할 수 있지만, 다음 설명에서는 유전자 시퀀싱을 설명한다. 그러나, 본 발명은 유전자 시퀀싱을 위해 구성된 기기에 제한되지 않는다.
개요에서 그리고 도 6a를 참조하면, 휴대용 고급 분석 기기(5-100)는 기기(5-100) 내에 교체 가능한 모듈로서 장착되거나 기기에 다른 방식으로 커플링된 하나 이상의 펄스 광학 소스(5-108)를 포함할 수 있다. 휴대용 분석 기기(5-100)는 광학 커플링 시스템(5-115) 및 분석 시스템(5-160)을 포함할 수 있다. 광학 커플링 시스템(5-115)은 광학 구성요소의 몇몇 조합(예를 들어, 이하의 구성요소: 렌즈, 미러, 광학 필터, 감쇠기, 빔-스티어링 구성요소, 빔 성형 구성요소 중 어느 것도 포함하지 않거나, 그 중 하나를 포함하거나, 그 중 하나 초과의 구성요소를 포함할 수도 있음)을 포함할 수 있고, 펄스 광학 소스(5-108)로부터 분석 시스템(5-160)으로 출력 광학 펄스(5-122)에 대해 동작하고 그리고/또는 커플링하도록 구성될 수 있다. 분석 시스템(5-160)은 샘플 분석을 위해 광학 펄스를 적어도 하나의 반응 챔버로 유도하고, 적어도 하나의 반응 챔버로부터 하나 이상의 광학 신호(예를 들어, 형광, 후방 산란 방사선)를 수신하며, 수신된 광학 신호를 나타내는 하나 이상의 전기 신호를 생성하도록 배열된 복수의 구성요소를 포함할 수 있다. 몇몇 실시예에서, 분석 시스템(5-160)은 하나 이상의 광검출기를 포함할 수 있고 또한 광검출기로부터의 전기 신호를 처리하도록 구성된 신호 처리 전자 장치(예를 들어, 하나 이상의 마이크로제어기, 하나 이상의 필드 프로그램 가능 게이트 어레이, 하나 이상의 마이크로프로세서, 하나 이상의 디지털 신호 프로세서, 로직 게이트 등)을 포함할 수도 있다. 분석 시스템(5-160)은 또한 외부 디바이스(예를 들어, 기기(5-100)가 하나 이상의 데이터 통신 링크를 통해 연결할 수 있는 네트워크 상의 하나 이상의 외부 디바이스)에 대해 데이터를 전송 및 수신하도록 구성된 데이터 전송 하드웨어를 포함할 수 있다. 몇몇 실시예에서, 분석 시스템(5-160)은 분석될 하나 이상의 샘플을 유지하는 생체 광전자 칩(5-140)을 수용하도록 구성될 수 있다.
도 6b는 소형 펄스 광학 소스(5-108)를 포함하는 휴대용 분석 기기(5-100)의 더 상세한 예를 도시하고 있다. 이 예에서, 펄스 광학 소스(5-108)는 소형 수동 모드-로킹 레이저 모듈(5-110)을 포함한다. 수동 모드-로킹 레이저는 외부 펄스 신호의 인가 없이 자동으로 광학 펄스를 생성할 수 있다. 몇몇 구현예에서, 모듈은 기기 섀시 또는 프레임(5-102)에 장착될 수 있으며, 기기의 외부 케이싱 내부에 위치될 수도 있다. 몇몇 실시예에 따르면, 펄스 광학 소스(5-108)는 광학 소스를 동작하고 광학 소스(5-108)로부터의 출력 빔에 대해 동작하는 데 사용될 수 있는 부가의 구성요소를 포함할 수 있다. 모드-로킹 레이저(5-110)는 레이저의 종방향 주파수 모드의 위상 로킹을 유도하는 레이저 공동 내의 또는 레이저 공동에 커플링된 요소(예를 들어, 포화 흡수체, 음향-광학 변조기, 커 렌즈)를 포함할 수도 있다. 레이저 공동은 공동 엔드 미러(5-111, 5-119)에 의해 부분적으로 정의될 수 있다. 주파수 모드의 이러한 로킹은 레이저의 펄스 동작을 야기하고(예를 들어, 공동내 펄스(5-120)는 공동 엔드 미러 사이에서 전후로 바운스됨) 부분적으로 전송 중인 하나의 엔드 미러(5-111)로부터 출력 광학 펄스(5-122)의 스트림을 생성한다.
몇몇 경우에, 분석 기기(5-100)는 제거 가능한 패키징된 생체 광전자 또는 광전자 칩(5-140)(또한 "일회용 칩"이라고도 칭함)을 수용하도록 구성된다. 일회용 칩은, 예를 들어 도 4에 도시되어 있는 바와 같이, 복수의 반응 챔버, 광학 여기 에너지를 반응 챔버에 전달하도록 배열된 통합 광학 구성요소, 및 반응 챔버로부터의 형광 방출을 검출하도록 배열된 통합 광검출기를 포함하는 생체 광전자 칩(4-110)을 포함할 수 있다. 몇몇 구현예에서, 칩(5-140)은 단일 사용 후에 폐기 가능할 수 있고, 반면 다른 구현예에서 칩(5-140)은 2회 이상 재사용될 수 있다. 칩(5-140)이 기기(5-100)에 의해 수용될 때, 칩은 펄스 광학 소스(5-108) 및 분석 시스템(5-160)의 장치와 전기 및 광학 통신 상태에 있을 수 있다. 전기 통신은, 예를 들어 칩 패키지의 전기 접점을 통해 이루어질 수도 있다.
몇몇 실시예에서 그리고 도 6b를 참조하면, 일회용 칩(5-140)은 부가의 기기 전자 장치를 포함할 수 있는 인쇄 회로 기판(PCB)과 같은 전자 회로 기판(5-130) 상에 (예를 들어, 소켓 연결을 통해) 장착될 수 있다. 예를 들어, PCB(5-130)는 전력, 하나 이상의 클록 신호, 및 제어 신호를 칩(5-140)에 제공하도록 구성된 회로, 및 반응 챔버로부터 검출된 형광 방출을 나타내는 신호를 수신하도록 배열된 신호 처리 회로를 포함할 수 있다. 칩(5-140)으로부터 반환된 데이터는 기기(5-100)의 전자 장치에 의해 부분적으로 또는 전체적으로 처리될 수 있지만, 일부 구현에서, 데이터는 네트워크 연결을 통해 하나 이상의 원격 데이터 프로세서로 전송될 수도 있다. PCB(5-130)는 또한 칩(5-140)의 도파로에 커플링된 광학 펄스(5-122)의 전력 레벨 및 광학 커플링에 관한 피드백 신호를 칩으로부터 수신하도록 구성된 회로를 포함할 수 있다. 피드백 신호는 광학 펄스(5-122)의 출력 빔의 하나 이상의 파라미터를 제어하기 위해 펄스 광학 소스(5-108) 및 광학 시스템(5-115) 중 하나 또는 모두에 제공될 수 있다. 몇몇 경우에, PCB(5-130)는 광학 소스(5-108)에서 광학 소스 및 관련 회로를 동작시키기 위해 펄스 광학 소스(5-108)에 전력을 제공하거나 라우팅할 수 있다.
몇몇 실시예에 따르면, 펄스 광학 소스(5-108)는 소형 모드-로킹 레이저 모듈(5-110)을 포함한다. 모드-로킹 레이저는 이득 매질(5-105)(몇몇 실시예에서, 고상 재료일 수 있음), 출력 커플러(5-111), 및 레이저-공동 엔드 미러(5-119)를 포함할 수 있다. 모드-로킹 레이저의 광학 공동은 출력 커플러(5-111) 및 엔드 미러(5-119)에 의해 바인딩될 수 있다. 레이저 공동의 광축(5-125)은 레이저 공동의 길이를 증가시키고 원하는 펄스 반복률을 제공하기 위해 하나 이상의 접힘(회전)을 가질 수 있다. 펄스 반복률은 레이저 공동의 길이(예를 들어, 광학 펄스가 레이저 공동 내에서 왕복하는 시간)에 의해 결정된다.
몇몇 실시예에서, 빔 성형, 파장 선택, 및/또는 펄스 형성을 위해 레이저 공동에 추가적인 광학 요소(도 6b에 도시되어 있지 않음)가 있을 수 있다. 몇몇 경우에, 엔드 미러(5-119)는 종방향 공동 모드의 수동 모드 로킹을 유도하고 모드-로킹 레이저의 펄스 동작을 야기하는 포화-흡수체 미러(saturable-absorber mirror)(SAM)를 포함한다. 모드-로킹 레이저 모듈(5-110)은 이득 매질(5-105)을 여기하기 위한 펌프 소스(예를 들어, 도 6b에 도시되어 있지 않은 레이저 다이오드)를 더 포함할 수 있다. 모드-로킹 레이저 모듈(5-110)에 대한 추가 상세는 2017년 12월 15일 출원된 발명의 명칭이 "소형 모드-로킹 레이저 모듈(Compact Mode-Locked Laser Module)"인 미국 특허 출원 제15/844,469호에서 찾을 수 있으며, 이 출원은 본 명세서에 참조로서 합체되어 있다.
레이저(5-110)가 모드 로킹 상태일 때, 공동내 펄스(5-120)는 엔드 미러(5-119)와 출력 커플러(5-111) 사이를 순환할 수 있으며, 공동내 펄스의 일부는 출력 커플러(5-111)를 통해 출력 펄스(5-122)로서 전송될 수 있다. 따라서, 도 6c의 그래프에 도시되어 있는 바와 같이, 출력 펄스(5-122)의 트레인은, 공동내 펄스(5-120)가 레이저 공동에서 출력 커플러(5-111)와 엔드 미러(5-119) 사이에서 전후로 바운스될 때 출력 커플러에서 검출될 수 있다.
도 6c는 출력 펄스(5-122)의 시간 강도 프로파일을 도시하고 있지만, 예시는 실제 축척은 아니다. 몇몇 실시예에서, 방출된 펄스의 피크 강도 값은 대략 동일할 수도 있고, 프로파일은 가우시안 시간 프로파일을 가질 수도 있지만, sech2 프로파일과 같은 다른 프로파일이 가능할 수도 있다. 몇몇 경우에, 펄스는 대칭성 시간 프로파일을 갖지 않을 수도 있고 다른 시간 형상을 가질 수도 있다. 각각의 펄스의 지속기간은 도 6c에 나타낸 바와 같이 반치전폭(full-width-half-maximum)(FWHM) 값에 의해 특징화될 수도 있다. 모드-로킹 레이저의 몇몇 실시예에 따르면, 극초단 광학 펄스는 100 피코초(ps) 미만의 FWHM 값을 가질 수 있다. 몇몇 경우에, FWHM 값은 대략 5 ps 내지 대략 30 ps 사이일 수 있다.
출력 펄스(5-122)는 규칙적인 간격(T)에 의해 분리될 수 있다. 예를 들어, T는 출력 커플러(5-111)와 공동 엔드 미러(5-119) 사이의 왕복 이동 시간에 의해 결정될 수 있다. 몇몇 실시예에 따르면, 펄스 분리 간격(T)은 약 1 ns 내지 약 30 ns일 수 있다. 몇몇 경우에, 펄스 분리 간격(T)은 약 5 ns 내지 약 20 ns일 수 있으며, 이는 약 0.7 미터 내지 약 3 미터의 레이저 공동 길이(레이저 공동 내에서 광축(5-125)의 대략적인 길이)에 대응한다. 실시예에서, 펄스 분리 간격은 레이저 공동의 왕복 이동 시간에 대응하고, 그에 따라 3 미터의 공동 길이(6 미터의 왕복 거리)가 약 20 ns의 펄스 분리 간격(T)을 제공한다.
몇몇 실시예에 따르면, 원하는 펄스 분리 간격(T) 및 레이저 공동 길이는 칩(5-140) 상의 반응 챔버의 수, 형광 방출 특성, 및 칩(5-140)으로부터 데이터를 판독하기 위한 데이터 취급 회로의 속도의 조합에 의해 결정될 수 있다. 실시예에서, 상이한 형광단은 그 상이한 형광 붕괴율 또는 특성 수명에 의해 구별될 수 있다. 따라서, 선택된 형광단에 대한 적절한 통계를 수집하여 그 상이한 붕괴율을 구별하도록 충분한 펄스 분리 간격(T)이 필요하다. 또한, 펄스 분리 간격(T)이 너무 짧으면, 데이터 취급 회로가 많은 수의 반응 챔버에 의해 수집되는 많은 양의 데이터를 따라갈 수 없다. 약 5 ns 내지 약 20 ns의 펄스 분리 간격(T)은 최대 약 2 ns의 붕괴율을 갖는 형광단에 대해 그리고 약 60,000 내지 10,000,000개의 반응 챔버 사이로부터의 데이터를 취급하는 데 적합하다.
몇몇 구현예에 따르면, 빔-스티어링 모듈(5-150)은 펄스 광학 소스(5-108)로부터 출력 펄스를 수신할 수 있고 적어도 칩(5-140)의 광학 커플러(예를 들어, 격자 커플러) 상으로의 광학 펄스의 위치 및 입사각을 조절하도록 구성된다. 몇몇 경우에, 펄스 광학 소스(5-108)로부터의 출력 펄스(5-122)는 빔-스티어링 모듈(5-150)에 의해 동작되어 칩(5-140) 상의 광학 커플러에서 빔 형상 및/또는 빔 회전을 추가로 또는 대안적으로 변경할 수 있다. 몇몇 구현예에서, 빔-스티어링 모듈(5-150)은 광학 커플러 상으로의 출력 펄스의 빔의 포커싱 및/또는 편광 조절을 추가로 제공할 수 있다. 빔-스티어링 모듈의 일 예는 2016년 5월 20일 출원된 발명의 명칭이 "펄스 레이저 및 바이오분석 시스템(Pulsed Laser and Bioanalytic System)"인 미국 특허 출원 제15/161,088호에 설명되어 있으며, 이 출원은 본 명세서에 참조로서 합체되어 있다. 빔-스티어링 모듈의 또 다른 예는 2016년 12월 16일 출원된 발명의 명칭이 "소형 빔 성형 및 스티어링 조립체(Compact Beam Shaping and Steering Assembly)"인 별개의 미국 특허 출원 제62/435,679호에 설명되어 있으며, 이 출원은 본 명세서에 참조로서 합체되어 있다.
도 6d를 참조하면, 펄스 광학 소스로부터의 출력 펄스(5-122)는, 예를 들어 일회용 생체 광전자 칩(5-140) 상의 하나 이상의 광학 도파로(5-312)에 커플링될 수 있다. 몇몇 실시예에서, 광학 펄스는 격자 커플러(5-310)를 통해 하나 이상의 도파로에 커플링될 수 있지만, 칩(5-140) 상의 하나 이상의 광학 도파로의 단부에 대한 커플링이 몇몇 실시예에서 사용될 수 있다. 몇몇 실시예에 따르면, 쿼드 검출기(5-320)는 격자 커플러(5-310)에 대한 광학 펄스(5-122)의 빔의 정렬을 돕기 위해 반도체 기판(5-305)(예를 들어, 실리콘 기판) 상에 위치될 수 있다. 하나 이상의 도파로(5-312) 및 반응 챔버 또는 반응 챔버(5-330)는 기판, 도파로, 반응 챔버, 및 광검출기(5-322) 사이에 유전체 층(예를 들어, 실리콘 디옥사이드 층)을 개재하여 동일한 반도체 기판 상에 통합될 수 있다.
각각의 도파로(5-312)는 도파로를 따라 반응 챔버에 커플링된 광학 출력을 균등화하기 위해 반응 챔버(5-330) 아래에 테이퍼진 부분(5-315)을 포함할 수 있다. 감소하는 테이퍼는 도파로의 코어 외부에 더 많은 광학 에너지를 강제할 수 있어, 반응 챔버에 대한 커플링을 증가시키고 반응 챔버로의 방사선 커플링에 대한 손실을 포함하여 도파로를 따른 광학 손실을 보상할 수 있다. 제2 격자 커플러(5-317)는 통합 포토다이오드(5-324)에 광학 에너지를 유도하기 위해 각각의 도파로의 단부에 위치될 수 있다. 통합 포토다이오드는 도파로 아래에 커플링된 전력의 양을 검출하고, 예를 들어 빔-스티어링 모듈(5-150)을 제어하는 검출된 신호를 피드백 회로에 제공할 수 있다.
반응 챔버(5-330) 또는 반응 챔버(5-330)는 도파로의 테이퍼진 부분(5-315)과 정렬되고 터브(5-340) 내에 리세스될 수 있다. 각각의 반응 챔버(5-330)에 대해 반도체 기판(5-305) 상에 위치된 광검출기(5-322)가 있을 수 있다. 몇몇 실시예에서, 반도체 흡수체(광학 필터(5-530)로서 도 6f에 도시됨)는 각각의 픽셀에서 도파로와 광검출기(5-322) 사이에 위치될 수도 있다. 금속 코팅 및/또는 다층 코팅(5-350)은 반응 챔버 주위에 그리고 도파로 위에 형성되어 반응 챔버에 없는 (예를 들어, 반응 챔버 위의 용액에 분산된) 형광단의 광학 여기를 방지할 수 있다. 금속 코팅 및/또는 다층 코팅(5-350)은 각각의 도파로의 입력 및 출력 단부에서 도파로(5-312)에서 광학 에너지의 흡수 손실을 감소시키기 위해 터브(5-340)의 에지를 넘어 상승될 수도 있다.
칩(5-140) 상에는 복수의 행의 도파로, 반응 챔버 및 시간 비닝 광검출기가 있을 수 있다. 예를 들어, 일부 구현에서 총 65,536개의 반응 챔버에 대해 각각 512개의 반응 챔버를 갖는 128개의 행이 있을 수 있다. 다른 구현예는 더 적거나 더 많은 반응 챔버를 포함할 수도 있고, 다른 레이아웃 구성을 포함할 수도 있다. 펄스 광학 소스(5-108)로부터의 광학 출력은 하나 이상의 스타 커플러 또는 다중 모드 간섭 커플러를 통해, 또는 칩(5-140)에 대한 광학 커플러(5-310)와 복수의 도파로(5-312) 사이에 위치된 임의의 다른 수단에 의해 다중 도파로에 분배될 수 있다.
도 6e는 도파로(5-315)의 테이퍼진 부분 내의 광학 펄스(5-122)로부터 반응 챔버(5-330)로의 광학 에너지 커플링을 예시한다. 도면은 도파로 치수, 반응 챔버 치수, 상이한 재료의 광학 속성, 반응 챔버(5-330)로부터 도파로(5-315)의 테이퍼진 부분까지의 거리를 설명하는 광학 파의 전자기장 시뮬레이션으로부터 생성되었다. 도파로는, 예를 들어 실리콘 디옥사이드의 주변 매질(5-410)에서 실리콘 니트라이드로부터 형성될 수 있다. 도파로, 주변 매질 및 반응 챔버는 2015년 8월 7일 출원된 발명의 명칭이 "분자를 프로빙, 검출 및 분석하기 위한 통합 디바이스(Integrated Device for Probing, Detecting and Analyzing Molecules)"인 미국 출원 제14/821,688호에 설명된 미세 가공 프로세스에 의해 형성될 수 있다. 몇몇 실시예에 따르면, 소멸성 광학 필드(5-420)는 도파로에 의해 수송된 광학 에너지를 반응 챔버(5-330)에 결합한다.
반응 챔버(5-330)에서 발생하는 생물학적 반응의 비한정적인 예가 도 6f에 도시되어 있다. 이 예는 표적 핵산에 상보적인 성장하는 가닥에 뉴클레오티드 또는 뉴클레오티드 유사체를 순차적으로 합체하는 것을 도시하고 있다. 순차적인 합체는 반응 챔버(5-330)에서 발생할 수 있으며, DNA를 시퀀싱하기 위해 고급 분석 기기에 의해 검출될 수 있다. 반응 챔버는 약 150 nm 내지 약 250 nm의 깊이 및 약 80 nm 내지 약 160 nm의 직경을 가질 수 있다. 금속화 층(5-540)(예를 들어, 전기 기준 전위에 대한 금속화)은 광검출기(5-322) 위에 패턴화되어 인접한 반응 챔버 및 다른 원치 않는 방사선 소스로부터의 표류 방사선을 차단하는 애퍼처 또는 조리개를 제공할 수 있다. 몇몇 실시예에 따르면, 중합효소(5-520)는 반응 챔버(5-330) 내에 위치될 수 있다(예를 들어, 챔버의 베이스에 부착됨). 중합효소는 표적 핵산(5-510)(예를 들어, DNA로부터 유래된 핵산의 일부)을 취하고, 상보적 핵산의 성장하는 가닥을 시퀀싱하여 DNA(5-512)의 성장하는 가닥을 생성할 수 있다. 상이한 형광단으로 표지된 뉴클레오티드 또는 뉴클레오티드 유사체는 반응 챔버 위와 내부의 용액에 분산될 수 있다.
표지된 뉴클레오티드 또는 뉴클레오티드 유사체(5-610)가 도 6g에 도시되어 있는 바와 같이 상보적 핵산의 성장하는 가닥에 합체될 때, 하나 이상의 부착된 형광단(5-630)은 도파로(5-315)로부터 반응 챔버(5-330) 내로 커플링된 광학 에너지 펄스에 의해 반복적으로 여기될 수 있다. 몇몇 실시예에서, 형광단 또는 형광단(5-630)은 임의의 적합한 링커(5-620)로 하나 이상의 뉴클레오티드 또는 뉴클레오티드 유사체(5-610)에 부착될 수 있다. 합체 이벤트는 최대 약 100 ms의 시간 기간 동안 지속될 수도 있다. 이 시간 동안, 모드-로킹 레이저로부터의 펄스에 의한 형광단(들)의 여기로부터 발생한 형광 방출의 펄스는, 예를 들어 시간 비닝 광검출기(5-322)로 검출될 수 있다. 몇몇 실시예에서, 신호 취급(예를 들어, 증폭, 판독, 라우팅, 신호 전처리 등)를 위해 각각의 픽셀에 하나 이상의 부가의 통합 전자 디바이스(5-323)가 있을 수 있다. 몇몇 실시예에 따르면, 각각의 픽셀은 형광 방출을 통과시키고 여기 펄스로부터 방사선의 투과를 감소시키는 적어도 하나의 광학 필터(5-530)(예를 들어, 반도체 흡수체)를 포함할 수 있다. 몇몇 구현예는 광학 필터(5-530)를 사용하지 않을 수도 있다. 상이한 방출 특성(예를 들어, 형광 붕괴율, 강도, 형광 파장)을 갖는 형광단을 상이한 뉴클레오티드(A, C, G, T)에 부착함으로써, DNA 5-512의 가닥이 핵산을 합체하고 DNA의 성장하는 가닥의 유전적 시퀀스를 결정할 수 있는 동안 상이한 방출 특성을 검출하고 구별한다.
몇몇 실시예에 따르면, 형광 방출 특성에 기초하여 샘플을 분석하도록 구성된 고급 분석 기기(5-100)는 형광 수명의 차이 및/또는 상이한 형광 분자 사이의 강도, 및/또는 상이한 환경에서 동일한 형광 분자의 수명 및/또는 강도 사이의 차이를 검출할 수 있다. 설명을 위해, 도 6h는, 예를 들어 2개의 상이한 형광 분자로부터의 형광 방출을 나타낼 수 있는 2개의 상이한 형광 방출 확률 곡선(A 및 B)을 플롯팅한다. 곡선 A(점선)를 참조하면, 짧은 또는 극초단 광학 펄스에 의해 여기된 후, 도시되어 있는 바와 같이 제1 분자로부터의 형광 방출의 확률 pA(t)가 시간에 따라 붕괴될 수도 있다. 몇몇 경우에, 시간 경과에 따라 광자가 방출될 확률의 감소는 지수 붕괴 함수 pA(t)=PAoe -t/τ 1에 의해 나타낼 수 있고, 여기서 PAo는 초기 방출 확률이며 τ1은 방출 붕괴 확률을 특성화하는 제1 형광 분자와 연관된 시간 파라미터이다. τ1은 제1 형광 분자의 "형광 수명", "방출 수명" 또는 "수명"으로 지칭될 수도 있다. 몇몇 경우에, τ1의 값은 형광 분자의 국소 환경에 의해 변경될 수 있다. 다른 형광 분자는 곡선 A에 도시되어 있는 것과 상이한 방출 특성을 가질 수 있다. 예를 들어, 다른 형광 분자는 단일 지수 붕괴와 상이한 붕괴 프로파일을 가질 수 있으며, 그 수명은 반감기 값 또는 일부 다른 측정 기준에 의해 특징화될 수 있다.
제2 형광 분자는 도 6h의 곡선 B에 대해 도시되어 있는 바와 같이 지수적이지만 측정 가능하게 상이한 수명 τ2를 갖는 붕괴 프로파일 pB(t)를 가질 수도 있다. 도시되어 있는 예에서, 곡선 B의 제2 형광 분자의 수명은 곡선 A의 수명보다 짧고, 방출 확률 pB(t)는 곡선 A보다 제2 분자의 여기 후 더 빨리 더 높다. 상이한 형광 분자는 몇몇 실시예에서, 약 0.1 ns 내지 약 20 ns 범위의 수명 또는 반감기 값을 가질 수 있다.
형광 방출 수명의 차이는 상이한 형광 분자의 존재 또는 부재를 식별하고 그리고/또는 형광 분자가 받는 상이한 환경 또는 조건을 식별하기 위해 사용될 수 있다. 몇몇 경우에, 수명(예를 들어, 방출 파장이 아닌)에 기초하여 형광 분자를 식별하면 분석 기기(5-100)의 양태를 단순화할 수 있다. 예로서, 파장 식별 광학 장치(파장 필터, 각각의 파장에 대한 전용 검출기, 상이한 파장의 전용 펄스 광학 소스, 및/또는 회절 광학 장치와 같은)는 수명에 기초하여 형광 분자를 식별할 때 수가 감소되거나 제거될 수 있다. 그러나, 형광 수명 식별이 본 예시적인 실시예에서 상세히 설명되어 있지만, 상이한 분자의 존재 또는 부재를 식별하고 그리고/또는 형광 분자가 적용되는 상이한 환경 또는 조건을 식별하기 위한 다른 방법은 본 명세서에 일반적으로 설명된 시퀀싱 프로세스에서 가능하다는 것이 이해되어야 한다. 예를 들어, 몇몇 실시예에서, 형광 분자는 형광 수명보다는 방출 파장에 기초하여 식별된다. 몇몇 경우에, 단일 특성 파장에서 동작하는 단일 펄스 광학 소스를 사용하여 광학 스펙트럼의 동일한 파장 영역 내에서 방출하지만 측정 가능하게 상이한 수명을 갖는 상이한 형광 분자를 여기시킬 수 있다. 동일한 파장 영역에서 방출하는 상이한 형광 분자를 여기하고 식별하기 위해 상이한 파장에서 동작하는 다중 소스보다 단일 펄스 광학 소스를 사용하는 분석 시스템은 동작 및 유지 관리가 덜 복잡할 수 있고 더 소형이고 더 낮은 비용으로 제조될 수 있다.
형광 수명 분석에 기초한 분석 시스템은 특정 이점을 가질 수 있지만, 분석 시스템에 의해 획득된 정보의 양 및/또는 검출 정확도는 부가의 검출 기술을 허용함으로써 증가될 수 있다. 예를 들어, 일부 분석 시스템(5-160)은 형광 파장 및/또는 형광 강도에 기초하여 표본의 하나 이상의 속성을 식별하도록 추가로 구성될 수 있다.
다시 도 6h를 참조하면, 몇몇 실시예에 따르면, 형광 분자의 여기 후 형광 방출 이벤트를 시간 비닝하도록 구성된 광검출기로 상이한 형광 수명을 구별할 수 있다. 시간 비닝은 광검출기에 대한 단일 전하 축적 사이클 동안 발생할 수 있다. 전하 축적 사이클은 시간 비닝 광검출기의 빈에 광 생성 캐리어가 축적되는 판독 이벤트 사이의 간격이다. 방출 이벤트의 시간 비닝에 의해 형광 수명을 결정하는 개념은 도 6i에 도식적으로 소개되어 있다. t1 직전의 시간 te에서, 동일한 유형(예를 들어, 도 6h의 곡선 B에 대응하는 유형)의 형광 분자 또는 형광 분자의 앙상블은 짧은 또는 극초단 광학 펄스에 의해 여기된다. 큰 분자 앙상블의 경우, 방출 강도는 도 6i에 도시되어 있는 바와 같이 곡선 B와 유사한 시간 프로파일을 가질 수 있다. 비닝에 기초하여 형광 분자를 식별하기 위한 특정 방법이 본 예시적인 실시예에서 상세히 설명되지만, 형광 수명을 결정하고 식별하기 위한 다른 방법이 본 명세서에 일반적으로 설명된 시퀀싱 프로세스에서 가능하다는 것이 이해되어야 한다. 예를 들어, 몇몇 실시예에서, 형광 수명은 단일 파장 진폭 기술을 사용하여(예를 들어, 여기 후 시간의 함수로서 단일 파장에서 방출의 진폭을 모니터링함으로써) 결정된다.
그러나, 단일 분자 또는 적은 수의 분자에 대해서는, 이 예의 경우, 도 6h의 곡선 B의 통계에 따라 형광 광자의 방출이 발생한다. 시간 비닝 광검출기(5-322)는 방출 이벤트로부터 생성된 캐리어를 개별 시간 빈으로 축적할 수 있다. 3개의 빈이 도 6i에 표시되어 있지만, 실시예에서 더 적은 빈 또는 더 많은 빈이 사용될 수도 있다. 빈은 형광 분자(들)의 여기 시간 te에 대해 시간적으로 분해된다. 예를 들어, 제1 빈은 시간 te에서 여기 이벤트 후에 발생하는 시간 t1과 t2 사이의 간격 동안 생성된 캐리어를 축적할 수 있다. 제2 빈은 시간 t2와 t3 사이의 간격 동안 생성된 캐리어를 축적할 수 있고, 제3 빈은 시간 t3과 t4 사이의 간격 동안 생성된 캐리어를 축적할 수 있다. 많은 수의 방출 이벤트가 합산될 때, 시간 빈에 축적된 캐리어는 도 6i에 도시되어 있는 붕괴 강도 곡선에 근사할 수 있고, 비닝된 신호는 상이한 형광 분자 또는 형광 분자가 위치되는 상이한 환경을 구별하는 데 사용될 수 있다.
시간 비닝 광검출기(5-322)의 예는 2015년 8월 7일 출원된 발명의 명칭이 "수신된 광자의 일시적 비닝을 위한 통합 디바이스(Integrated Device for Temporal Binning of Received Photons)"인 미국 특허 출원 제14/821,656호 및 2017년 12월 22일 출원된 발명의 명칭이 "직접 비닝 픽셀을 갖는 통합 광검출기(Integrated Photodetector with Direct Binning Pixel)"인 미국 특허 출원 제15/852,571호에 설명되어 있고, 이들 출원의 모두는 그대로 본 명세서에 참조로서 합체되어 있다. 설명을 위해, 시간 비닝 광검출기의 비한정적인 실시예가 도 6j에 도시되어 있다. 단일 시간 비닝 광검출기(5-322)는 광자-흡수/캐리어-생성 영역(5-902), 캐리어-방전 채널(5-906), 및 반도체 기판 상에 모두 형성된 복수의 캐리어-저장 빈(5-908a, 5-908b)을 포함할 수 있다. 캐리어-수송 채널(5-907)은 광자-흡수/캐리어-생성 영역(5-902)과 캐리어-저장 빈(5-908a, 5-908b) 사이를 연결할 수 있다. 예시된 예에서, 2개의 캐리어-저장 빈이 도시되지만, 더 많거나 더 적을 수도 있다. 캐리어-저장 빈에 연결된 판독 채널(5-910)이 있을 수 있다. 광자-흡수/캐리어-생성 영역(5-902), 캐리어-방전 채널(5-906), 캐리어-저장 빈(5-908a, 5-908b), 및 판독 채널(5-910)은 반도체를 국소로 도핑하고 그리고/또는 인접한 절연 영역을 형성함으로써 형성되어 캐리어의 광검출 능력, 구속, 및 수송을 제공할 수 있다. 시간 비닝 광검출기(5-322)는 또한 디바이스를 통해 캐리어를 수송하기 위한 디바이스에서 전기장을 생성하도록 구성된 기판 상에 형성된 복수의 전극(5-920, 5-921, 5-922, 5-923, 5-924)을 포함할 수 있다.
작업시, 펄스 광학 소스(5-108)(예를 들어, 모드-로킹 레이저)로부터의 여기 펄스(5-122)의 일부는 시간 비닝 광검출기(5-322)를 통해 반응 챔버(5-330)로 전달된다. 초기에, 몇몇 여기 방사선 광자(5-901)는 광자-흡수/캐리어-생성 영역(5-902)에 도달하여 캐리어(밝은 음영 원으로서 도시되어 있음)를 생성할 수도 있다. 여기 방사선 광자(5-901)와 함께 도달하고 대응 캐리어(어두운 음영 원으로 도시됨)를 생성하는 일부 형광 방출 광자(5-903)가 또한 있을 수 있다. 초기에, 여기 방사선에 의해 생성된 캐리어의 수는 형광 방출에 의해 생성된 캐리어의 수에 비교하여 너무 클 수 있다. 시간 간격 |te-t1| 동안 생성된 초기 캐리어는, 예를 들어 제1 전극(5-920)을 갖는 캐리어-방전 채널(5-906)으로 게이팅하여 거부될 수 있다.
이후에, 대부분의 형광 방출 광자(5-903)는 광자-흡수/캐리어-생성 영역(5-902)에 도달하여 반응 챔버(5-330)로부터의 형광 방출을 나타내는 유용하고 검출 가능한 신호를 제공하는 캐리어(어두운 음영 원으로 나타냄)를 생성한다. 몇몇 검출 방법에 따르면, 제2 전극(5-921) 및 제3 전극(5-923)은 이후에(예를 들어, 제2 시간 간격 |t1-t2| 동안) 생성된 캐리어를 제1 캐리어-저장 빈(5-908a)으로 유도하도록 이후에 게이팅될 수 있다. 후속적으로, 제4 전극(5-922) 및 제5 전극(5-924)은 캐리어를 제2 캐리어-저장 빈(5-908b)으로 유도하기 위해 이후에(예를 들어, 제3 시간 간격 |t2-t3| 동안) 게이팅될 수 있다. 전하 축적은 각각의 캐리어-저장 빈(5-908a, 5-908b)에서 상당한 수의 캐리어와 신호 레벨을 축적하기 위해 많은 수의 여기 펄스에 대한 여기 펄스 후에 이러한 방식으로 계속될 수 있다. 이후에, 신호가 빈으로부터 판독될 수 있다. 몇몇 구현예에서, 각각의 저장 빈에 대응하는 시간 간격은 나노초미만 시간 스케일에 있지만, 더 긴 시간 스케일이 몇몇 실시예에서(예를 들어, 형광단이 더 긴 붕괴 시간을 갖는 실시예에서) 사용될 수 있다.
여기 이벤트(예를 들어, 펄스 광학 소스로부터의 여기 펄스) 후 캐리어를 생성하고 시간 비닝하는 프로세스는 단일 여기 펄스 후에 1회 발생하거나 시간 비닝 광검출기(5-322)에 대한 단일 전하 축적 사이클 동안 다중 여기 펄스 후에 다수회 반복될 수 있다. 전하 축적이 완료된 후, 판독 채널(5-910)을 통해 저장 빈에서 캐리어를 판독할 수 있다. 예를 들어, 적절한 바이어싱 시퀀스가 전극(5-923, 5-924) 및 적어도 전극(5-940)에 적용되어 저장 빈(5-908a, 5-908b)으로부터 캐리어를 제거할 수 있다. 전하 축적 및 판독 프로세스는 칩(5-140)에서 대규모 병렬 작업으로 발생하여 데이터 프레임을 생성할 수 있다.
도 6j와 관련하여 설명된 예는 다수의 전하 저장 빈(5-908a, 5-908b)을 포함하지만, 몇몇 경우에 단일 전하 저장 빈이 대신 사용될 수도 있다. 예를 들어, bin1만이 시간 비닝 광검출기(5-322)에 존재할 수도 있다. 이러한 경우에, 단일 저장 빈(5-908a)은 상이한 여기 이벤트 후에 상이한 시간 간격을 보기 위해 가변 시간-게이팅 방식으로 동작될 수 있다. 예를 들어, 제1 일련의 여기 펄스의 펄스 후, 저장 빈(5-908a)을 위한 전극이 게이팅되어 제1 시간 간격 동안(예를 들어, 제2 시간 간격 |t1-t2| 동안) 생성된 캐리어를 수집할 수 있고, 제1 미리 결정된 수의 펄스 후에 축적된 신호를 판독할 수 있다. 동일한 반응 챔버에서 후속 일련의 여기 펄스의 펄스 후, 저장 빈(5-908a)에 대한 동일한 전극을 게이팅하여 상이한 간격 동안(예를 들어, 제3 시간 간격 |t2-t3| 동안) 생성된 캐리어를 수집할 수 있고, 축적된 신호는 미리 결정된 제2 펄스 수 후에 판독될 수 있다. 캐리어는 필요한 경우 유사한 방식으로 이후 시간 간격 동안 수집될 수 있다. 이러한 방식으로, 단일 캐리어-저장 빈을 사용하여 반응 챔버에 여기 펄스가 도달한 후 상이한 시간 기간 동안 형광 방출에 대응하는 신호 레벨이 생성될 수 있다.
여기 후 상이한 시간 간격 동안 전하 축적이 어떻게 수행되는 지에 무관하게, 판독된 신호는, 예를 들어 형광 방출 붕괴 특성을 나타내는 빈의 히스토그램을 제공할 수 있다. 예시적인 프로세스가 도 6k 및 도 6l에 예시되어 있는데, 프로세스 동안 반응 챔버로부터 형광 방출을 획득하기 위해 2개의 전하-저장 빈이 사용된다. 히스토그램의 빈은 반응 챔버(5-330)에서 형광단(들)의 여기 후 각각의 시간 간격 동안 검출된 광자의 수를 나타낼 수 있다. 몇몇 실시예에서, 빈에 대한 신호는 도 6k에 도시되어 있는 바와 같이 많은 수의 여기 펄스에 따라 축적될 것이다. 여기 펄스는 펄스 간격 시간(T)에 의해 분리되는 시간(te1, te2, te3,... teN)에서 발생할 수 있다. 몇몇 경우에, 반응 챔버에서 관찰되는 단일 이벤트(예를 들어, DNA 분석에서 단일 뉴클레오티드 통합 이벤트)에 대한 전자-저장 빈에 신호가 축적되는 동안 반응 챔버에 105 내지 107개의 여기 펄스(5-122)(또는 그 부분)가 인가될 수 있다. 몇몇 실시예에서, 하나의 빈(bin 0)은 각각의 광학 펄스와 함께 전달되는 여기 에너지의 진폭을 검출하도록 구성될 수 있고, (예를 들어, 데이터를 정규화하기 위해) 기준 신호로서 사용될 수도 있다. 다른 경우에, 여기 펄스 진폭은 안정적일 수도 있고, 신호 획득 동안 1회 이상 결정될 수도 있고, 각각의 여기 펄스 후에 bin0 신호 획득이 없도록 각각의 여기 펄스 후에 결정되지 않을 수도 있다. 이러한 경우에, 여기 펄스에 의해 생성된 캐리어는 도 6j와 관련하여 전술된 바와 같이 광자-흡수/캐리어-생성 영역(5-902)으로부터 거부되고 덤프될 수 있다.
몇몇 구현예에서, 도 6k에 도시되어 있는 바와 같이, 여기 이벤트 후에 형광단으로부터 단지 단일의 광자가 방출될 수도 있다. 시간 te1에서 제1 여기 이벤트 후, 시간 tf1에서 방출된 광자는 제1 시간 간격(예를 들어, 시간 t1 내지 t2) 내에 발생할 수도 있어, 결과적인 전자 신호는 제1 전자-저장 빈에 축적되게 된다(bin 1에 기여함). 시간 te2에서의 후속 여기 이벤트에서, 시간 tf2에서 방출된 광자는 제2 시간 간격(예를 들어, 시간 t2 내지 t3) 내에 발생할 수도 있어, 결과적인 전자 신호는 bin 2에 기여하게 된다. 시간 te3에서의 다음 여기 이벤트 이후, 광자는 제1 시간 간격 내에서 발생하는 시간 tf3에서 방출될 수도 있다.
몇몇 구현예에서, 반응 챔버(5-330)에서 수신된 각각의 여기 펄스 후에 방출 및/또는 검출된 형광 광자가 없을 수도 있다. 몇몇 경우에, 반응 챔버에 전달되는 10,000개의 여기 펄스마다 반응 챔버에서 검출되는 형광 광자가 하나일 수 있다. 펄스 여기 소스(5-108)로서 모드-로킹 레이저(5-110)를 구현하는 하나의 장점은 모드-로킹 레이저가 높은 펄스 반복률(예를 들어, 50 MHz 내지 250 MHz)에서 높은 강도 및 빠른 턴오프 시간을 갖는 짧은 광학 펄스를 생성할 수 있다는 것이다. 이러한 높은 펄스 반복률로, 10 밀리초 전하 축적 간격 내의 여기 펄스 수는 50,000 내지 250,000개일 수 있어, 검출 가능한 신호가 축적될 수 있게 된다.
많은 수의 여기 이벤트 및 캐리어 축적 후, 시간 비닝 광검출기(5-322)의 캐리어-저장 빈은 반응 챔버에 대한 다중값 신호(예를 들어, 2개 이상의 값의 히스토그램, N차원 벡터 등)을 제공하도록 판독될 수 있다. 각각의 빈의 신호 값은 형광단의 붕괴율에 의존할 수 있다. 예를 들어, 도 6i를 다시 참조하면, 붕괴 곡선 B를 갖는 형광단은 붕괴 곡선 A를 갖는 형광단보다 bin 1 대 bin 2의 더 높은 신호 비를 가질 것이다. 빈으로부터의 값을 분석하고 보정 값, 및/또는 서로에 대해 비교하여 존재하는 특정 형광단을 결정할 수 있다. 시퀀싱 애플리케이션의 경우, 형광단을 식별하면, 예를 들어 성장하는 DNA 가닥에 합체되는 뉴클레오티드 또는 뉴클레오티드 유사체를 결정할 수 있다. 다른 애플리케이션의 경우, 형광단을 식별하면 형광단에 연결되거나 형광단으로 마킹될 수도 있는 관심 있는 분자 또는 표본의 아이덴티티를 결정할 수 있다.
신호 분석을 이해하는 데 추가로 도움을 주기 위해, 축적된 다중 빈 값이, 예를 들어 도 6l에 도시되어 있는 바와 같이 히스토그램으로서 플롯팅될 수 있거나, N차원 공간의 벡터 또는 위치로서 기록될 수 있다. 보정 실행은 4개의 뉴클레오티드 또는 뉴클레오티드 유사체에 연결된 4개의 상이한 형광단을 위한 다중값 신호(예를 들어, 보정 히스토그램)에 대한 보정 값을 획득하기 위해 별도로 수행될 수 있다. 예로서, 보정 히스토그램은 도 6m(T 뉴클레오티드와 연관된 형광 표지), 도 6n(A 뉴클레오티드와 연관된 형광 표지), 도 6o(C 뉴클레오티드와 연관된 형광 표지), 및 도 6p(G 뉴클레오티드와 연관된 형광 표지)에 도시되어 있는 바와 같이 나타날 수도 있다. 측정된 다중값 신호(도 6l의 히스토그램에 대응)와 보정 다중값 신호의 비교는 성장하는 DNA 가닥에 합체되는 뉴클레오티드 또는 뉴클레오티드 유사체의 아이덴티티 "T"(도 6k)를 결정할 수 있다.
몇몇 구현예에서, 형광 강도는 상이한 형광단을 구별하기 위해 추가적으로 또는 대안적으로 사용될 수 있다. 예를 들어, 몇몇 형광단은 그 붕괴율이 유사할 수도 있더라도 상당히 상이한 강도로 방출하거나 그 여기 확률에서 상당한 차이(예를 들어, 적어도 약 35%의 차이)를 가질 수도 있다. 측정된 여기 에너지 및/또는 다른 획득된 신호에 대해 비닝된 신호(빈 5-3)를 참조함으로써, 강도 레벨에 기초하여 상이한 형광단을 구별하는 것이 가능할 수 있다.
몇몇 실시예에서, 동일한 유형의 상이한 수의 형광단이 상이한 뉴클레오티드 또는 뉴클레오티드 유사체에 연결될 수 있어, 뉴클레오티드는 형광단 강도에 기초하여 식별될 수 있게 된다. 예를 들어, 2개의 형광단은 제1 뉴클레오티드(예를 들어, "C") 또는 뉴클레오티드 유사체에 연결될 수 있고 4개 이상의 형광단은 제2 뉴클레오티드(예를 들어, "T") 또는 뉴클레오티드 유사체에 연결될 수 있다. 형광단의 수가 상이하기 때문에, 상이한 뉴클레오티드와 연관된 여기 및 형광단 방출 확률이 상이할 수도 있다. 예를 들어, 신호 축적 간격 동안 "T" 뉴클레오티드 또는 뉴클레오티드 유사체에 대해 더 많은 방출 이벤트가 있을 수도 있어, 빈의 겉보기 강도는 "C" 뉴클레오티드 또는 뉴클레오티드 유사체에 대한 것보다 상당히 더 높게 된다.
형광단 붕괴율 및/또는 형광단 강도에 기초하여 뉴클레오티드 또는 임의의 다른 생물학적 또는 화학적 표본을 구별하면 분석 기기(5-100)에서 광학 여기 및 검출 시스템의 단순화가 가능하다. 예를 들어, 광학 여기는 단일 파장 소스(예를 들어, 다중 소스 또는 다중 상이한 특성 파장에서 동작하는 소스가 아닌 하나의 특성 파장을 생성하는 소스)로 수행될 수 있다. 또한, 파장-구별 광학 장치와 필터는 상이한 파장의 형광단을 구별하기 위해 검출 시스템에 필요하지 않을 수도 있다. 또한, 각각의 반응 챔버에 대해 단일 광검출기를 사용하여 상이한 형광단으로부터의 방출을 검출할 수 있다.
약 560 nm 내지 약 900 nm 범위의 방출 파장을 갖는 형광단은 시간 비닝 광검출기(CMOS 프로세스를 사용하여 실리콘 웨이퍼 상에 제조될 수 있음)에 의해 검출될 적절한 양의 형광을 제공할 수 있다. 이들 형광단은 유전자 시퀀싱 애플리케이션을 위한 뉴클레오티드 또는 뉴클레오티드 유사체와 같은 관심 있는 생물학적 분자에 연결될 수 있다. 이 파장 범위의 형광 방출은 더 긴 파장의 형광보다 실리콘 기반 광검출기에서 더 높은 반응성으로 검출될 수 있다. 또한, 이 파장 범위의 형광단 및 연관 링커는 뉴클레오티드 또는 뉴클레오티드 유사체가 성장하는 DNA 가닥으로 통합되는 것을 방해하지 않을 수도 있다. 몇몇 구현예에서, 약 560 nm 내지 약 660 nm 범위의 방출 파장을 갖는 형광단은 단일 파장 소스로 광학적으로 여기될 수 있다. 이 범위의 형광단의 예는 미국 매사추세츠주 월섬 소재의 Thermo Fisher Scientific Inc.로부터 입수 가능한 Alexa Fluor 647이 있다. 더 짧은 파장(예를 들어, 약 500 nm 내지 약 650 nm)에서의 여기 에너지는 약 560 nm 내지 약 900 nm의 파장에서 방출하는 형광단을 여기하는 데 사용될 수도 있다. 몇몇 실시예에서, 시간 비닝 광검출기는, 예를 들어 Ge와 같은 다른 재료를 광검출기의 활성 영역에 통합함으로써 반응 챔버로부터의 더 긴 파장 방출을 효율적으로 검출할 수 있다.
2019년 10월 29일 출원된 발명의 명칭이 "바이오분석 애플리케이션을 위한 유체의 연동 펌핑 및 연관 방법, 시스템, 및 디바이스(Peristaltic Pumping of Fluids For Bioanalytical Applications and Associated Methods, Systems, and Devices)"인 미국 가출원 제62/927,405호, 및 2019년 10월 29일 출원된 발명의 명칭이 "유체의 연동 펌핑 및 연관 방법, 시스템, 및 디바이스(Peristaltic Pumping of Fluids and Associated Methods, Systems, and Devices)"인 미국 가출원 제62/927,385호는 모든 목적으로 그대로 본 명세서에 참조로서 합체되어 있다.
이하의 예는 몇몇 실시예에 따른, 연동 펌프를 형성하는 예시적인 장치 및 카트리지를 예시한다.
도 7a는 몇몇 실시예에 따른, 연동 펌프를 형성하는 장치(1000) 및 카트리지(1100)의 평면 개략도이다. 도 7b는 몇몇 실시예에 따른, 도 7a의 연동 펌프를 형성하는 장치(1000) 및 테스트 카트리지(1100)의, 도 7a의 섹션 A-A를 가리키는 화살표의 방향에서 도 7a의 섹션 A-A로부터 본 측면도 개략도이다. 도 7c는 몇몇 실시예에 따른, 도 7a의 연동 펌프를 형성하는 장치(1000) 및 카트리지(1100)의 다른 측면도 개략도이다. 도 7d는 몇몇 실시예에 따른, 도 7a의 연동 펌프를 형성하는 장치(1000) 및 카트리지(1100)의 사시도 개략도이다.
도시되어 있는 장치(1000)는 웨지형 롤러(1020); 수직 축방향(1029)을 따라 연결 아암(1024) 아래)를 포함한다. 도시되어 있는 웨지형 롤러(1020)는 웨지 형상을 갖는, 롤러의 회전축의 말단에 있는 에지(1033)를 포함한다. 도시되어 있는 장치(1000)는 연결 아암(1024)에 의해 웨지형 롤러(1020)에 연결된 크랭크(1028) 및 로커(1026)를 포함하는 크랭크 및 로커 메커니즘을 포함한다. 도시되어 있는 연결 아암(1024)은 크랭크(1028)를 로커(1026) 및 웨지형 롤러(1020)에 결합하도록 구성된다. 도시되어 있는 장치(1000)는 연결 아암(1024)에 웨지형 롤러(1020)를 결합하도록 구성된 스프링 롤러 아암(1022; 수직 축방향(1029)을 따라 연결 아암(1024) 아래)을 더 포함한다. 도시되어 있는 장치(1000)는 스프링 롤러 아암(1022)을 연결 아암(1024)에 결합하도록 구성된 힌지(1025)를 더 포함한다. 몇몇 실시예에서, 힌지(1025)는 스프링(도시되어 있지 않음)을 포함한다. 도시되어 있는 장치(1000)는 크랭크(1028) 및/또는 로커(1026)의 회전이 수평 축방향(1031) 및/또는 수직 축방향(1029)을 따라 롤러의 모션을 구동하도록 구성된다.
도시되어 있는 장치(1000)는 병진기 나사(1038) 및 병진기 로드(1036)를 포함한다. 도시되어 있는 바와 같이, 로커(1026)의 샤프트는 병진기 나사(1038) 및 병진기 로드(1036)에 간접적으로 연결되어, 로커 샤프트의 회전축(1037)이 고정 유지되고 병진기 로드(1036)의 길이를 따라 병진기 나사(1038)의 회전축(1039) 및 중심축(1041)에 대해 평행하게 유지된다.
도시되어 있는 장치(1000)는 병진기 모터(1040) 및 펌프 모터(1042)를 포함한다.
도시되어 있는 병진기 모터(1040)는 병진기 모터(1040)가 병진기 나사(1038)의 회전을 구동하기 위해 동작 가능한 구성으로 병진기 나사(1038)에 연결된다. 몇몇 실시예에서, 어느 방향으로든 병진기 나사(1038)의 회전을 구동하는 것은 병진기 나사(1038)의 회전축(1039)에 평행한 축을 따라 캐리지(1044)의 모션을 구동한다.
도시되어 있는 펌프 모터(1042)는 펌프 모터(1042)가 크랭크(1028)의 회전을 구동하도록 동작 가능한 구성으로 크랭크(1028)에 연결된다.
도시되어 있는 장치(1000)는 캐리지(1044)를 포함한다. 도시되어 있는 바와 같이, 캐리지(1044)는 로커(1026)의 샤프트와 크랭크(1028)의 샤프트를 병진기 나사(1038) 및 병진기 로드(1036)에 연결한다. 몇몇 실시예에서, 캐리지(1044)는 로커(1026)의 샤프트와 크랭크(1028)의 샤프트를 서로 고정된 거리에 유지한다.
도시되어 있는 테스트 카트리지(1100)는 채널(도시되어 있지 않음) 위의 표면층(1106)을 포함한다. 몇몇 실시예에서, 표면층(1106)은 엘라스토머를 포함한다. 예를 들어, 표면층(1106)은 실리콘 엘라스토머를 포함할 수도 있다. 몇몇 실시예에서, 도시되어 있는 표면층(1106)은 충분히 얇고 그리고/또는 유연하여: 예를 들어 장치(1000)의 펌프 모터(1042)에 의해 구동되는 웨지형 롤러(1020)를 사용하여 표면층(1106)의 일부를 변형하는 것은 벽 및/또는 표면층(1106)의 일부와 연관된 채널의 베이스와 접촉하게 할 수도 있고; 표면층(1106)의 제2 부분으로 변형을 병진하기 위해 웨지형 롤러(1020)를 롤링하면 채널에서 유체의 연동 펌핑이 야기되며, 웨지형 롤러(1020)의 롤링 방향으로 순 유체 유동이 발생한다.
도 7e는 베이스 층(1104)의 채널(1102) 위에 표면층(1106)을 포함하는 테스트 카트리지(1100)의 확대 사시도를 도시하고 있다. 몇몇 실시예에서, 웨지형 롤러(1020)는 펌핑 프로세스의 일부 동안 채널(1102) 위의 표면층(1106)의 일부를 변형시키는 데 사용될 수 있다. 채널(1102)의 적어도 일부는 실질적으로 삼각형 부분(1101)과 실질적으로 삼각형 부분(1101)으로 개방되고 채널의 표면(1105)에 대해 실질적으로 삼각형 부분(1101) 아래로 연장되는 제2 부분(1103)을 포함할 수도 있으며, 여기서 제2 부분(1103)은 실질적으로 삼각형 부분(1101)의 평균 직경보다 상당히 작은 직경을 갖는다. 전술된 바와 같이, 제2 부분(1103)은 채널(1102)의 "깊은 섹션"을 형성할 수도 있다.
도 7f는 몇몇 실시예에 따른 채널(1102) 위의 표면층(1106)을 포함하는 테스트 카트리지(1100)의 단면(채널의 단면으로서 도시됨)의 사시도를 도시하고 있다. 도 7d 및 도 7e에 도시되어 있는 바와 같이, 웨지형 롤러(1020)는 특정 실시예에 따라 채널(1102) 위의 표면층(1106)과 접촉하고 변형함으로써 카트리지(1100)와 맞물릴 수도 있다. 다시 도 7f를 참조하면, 채널(1102)은 실질적으로 삼각형 부분(1101)과 제2 부분(1103) 둘 모두를 갖는 채널(1102)의 길이를 따른 부분(예를 들어, "깊은 섹션"), 뿐만 아니라 실질적으로 삼각형 부분(1101)만을 갖는 채널(1102)의 길이를 따른 부분을 포함한다. 펌프 체적은 실질적으로 삼각형 부분(1101)만을 포함하는 채널(1102)의 부분과 실질적으로 삼각형 부분(1101) 및 제2 부분(1103) 둘 모두를 포함하는 채널(1102)의 부분 사이의 인터페이스(1107)에 의해 정의될 수도 있다. 몇몇 실시예에서, 실질적으로 삼각형 부분(1101)만을 포함하는 채널(1102)의 부분의 유체만이, 롤러(1020)가 카트리지(1100)와 맞물릴 때 펌프 체적의 일부인 반면, 실질적으로 삼각형 부분(1101)과 제2 부분(1102) 둘 모두를 포함하는 채널(1102) 부분에 있는 유체는 펌프 체적의 일부가 아니다. 몇몇 실시예에서, 펌프 체적은 몇몇 실시예에 따라, 전체에 제2 부분(1103)이 없는 채널(1102)의 밸브(1108)와 인터페이스(1107) 사이의 채널(1102)의 체적일 수도 있다.
등가물 및 범주
본 발명의 다수의 실시예가 본 명세서에 설명되고 예시되었지만, 통상의 기술자는 본 명세서에 설명된 기능을 수행하고 그리고/또는 결과 및/또는 장점 중 하나 이상을 얻기 위한 다양한 다른 수단 및/또는 구조체를 즉시 고려할 수 있을 것이고, 각각의 이러한 변형 및/또는 수정은 본 명세서에 설명된 본 발명의 실시예의 범주 내에 있는 것으로 간주된다. 더 일반적으로, 통상의 기술자는 본 명세서에 설명된 모든 파라미터, 치수, 재료, 및 구성이 예시적인 것으로 의도되고, 실제 파라미터, 치수, 재료, 및/또는 구성이 본 발명의 교시가 사용되는 특정 용례 또는 용례들에 좌우될 것이라는 것을 즉시 이해할 수 있을 것이다. 통상의 기술자는 단지 관례적인 실험을 사용하여, 본 명세서에 설명된 본 발명의 특정 실시예의 다수의 등가물을 인식할 것이고, 또는 확인하는 것이 가능할 것이다. 따라서, 상기 실시예는 단지 예로서만 제시된 것이고, 첨부된 청구범위 및 그 등가물의 범주 내에서, 본 발명의 실시예는 구체적으로 설명되고 청구된 것 이외로 실시될 수도 있다는 것이 이해되어야 한다. 본 개시내용의 발명적 실시예는 본 명세서에 설명된 각각의 개별 특징부, 시스템, 물품, 재료, 키트, 및/또는 방법에 관한 것이다. 게다가, 2개 이상의 이러한 특징부, 시스템, 물품, 재료, 키트, 및/또는 방법의 임의의 조합은, 이러한 특징부, 시스템, 물품, 재료, 키트, 및/또는 방법이 서로 불일치하지 않으면, 본 개시내용의 발명적 범주 내에 포함된다.
모든 정의는, 본 명세서에 정의되고 사용될 때, 사전적 정의, 참조로서 합체되어 있는 문헌 내의 정의, 및/또는 정의된 용어의 일반적인 의미보다 우선하는 것으로 이해되어야 한다.
본 명세서에 개시된 모든 참조, 특허 및 특허 출원은 각각이 인용된 주제와 관련하여 참조로서 합체되어 있고, 몇몇 경우에는 문서 전체를 포함할 수도 있다.
단수 표현은 상세한 설명 및 청구범위에서 본 명세서에 사용될 때, 명백히 반대로 지시되지 않으면, "적어도 하나"를 의미하는 것으로 이해되어야 한다.
구문 "및/또는"은 상세한 설명 및 청구범위에서 본 명세서에 사용될 때, 이와 같이 연접된 요소 중 "어느 하나 또는 모두", 즉 몇몇 경우에 연접적으로 존재하고 다른 경우에 이접적으로 존재하는 요소를 의미하는 것으로 이해되어야 한다. "및/또는"으로 열거된 다수의 요소는 동일한 방식으로, 즉 이와 같이 연접된 요소의 "하나 이상"으로 해석되어야 한다. 구체적으로 식별된 이들 요소에 관련되거나 관련되지 않건 간에, "및/또는" 절에 의해 구체적으로 식별된 요소 이외의 다른 요소가 선택적으로 존재할 수도 있다. 따라서, 비한정적인 예로서, "포함하는"과 같은 개방형 언어와 함께 사용될 때 "A 및/또는 B"에 대한 언급은 일 실시예에서 A만(선택적으로 B 이외의 요소를 포함함); 다른 실시예에서, B만(선택적으로 A 이외의 요소를 포함함); 또 다른 실시예에서, A와 B 모두(선택적으로 다른 요소를 포함함); 등을 나타낼 수 있다.
상세한 설명 및 청구범위에서 본 명세서에 사용된 바와 같이, "또는"은 상기에 정의된 바와 같은 "및/또는"과 동일한 의미를 갖도록 이해되어야 한다. 예를 들어, 리스트 내의 아이템을 분리할 때, "또는" 또는 "및/또는"은 포함적인 것으로서, 즉 다수의 요소 또는 요소의 리스트의 적어도 하나의 포함, 뿐만 아니라 하나 초과를 포함하고, 선택적으로 부가의 열거되지 않은 아이템을 포함하는 것으로서 해석되어야 한다. 단지 "~중 단지 하나" 또는 "~중 정확히 하나"와 같은 명백히 반대로 지시된 용어, 또는 청구범위에 사용될 때, "~로 이루어지는"은 다수의 요소 또는 요소의 리스트 중 정확히 하나의 요소의 포함을 칭할 것이다. 일반적으로, 용어 "또는"은 본 명세서에 사용될 때, "어느 하나", "~중 하나", "~중 단지 하나" 또는 "~중 정확히 하나"와 같은, 배제의 용어에 선행할 때 배제적인 대안(즉, "하나 또는 다른 하나 그러나 모두는 아님")을 지시하는 것으로서만 해석되어야 한다. "~으로 필수적으로 이루어지는"은 청구범위에 사용될 때, 특허법의 범위에서 사용되는 그 일반적인 의미를 가질 것이다.
상세한 설명 및 청구범위에서 본 명세서에 사용된 바와 같이, 하나 이상의 요소의 리스트와 관련하여 구문 "적어도 하나"는, 요소의 리스트 내의 요소 중 임의의 하나 이상으로부터 선택된 적어도 하나의 요소를 의미하지만, 반드시 요소의 리스트 내에 구체적으로 열거된 각각의 모든 요소의 적어도 하나를 포함하고 요소의 리스트 내의 요소의 임의의 조합을 배제하는 것은 아니라는 것이 이해되어야 한다. 이 정의는 또한, 구체적으로 식별된 이들 요소에 관련되거나 관련되지 않건 간에, 구문 "적어도 하나"가 칭하는 요소의 리스트 내에 구체적으로 식별된 요소 이외의 요소가 선택적으로 존재할 수도 있는 것을 허용한다. 따라서, 비한정적인 예로서, "A 및 B 중 적어도 하나"(또는 동등하게 "A 또는 B 중 적어도 하나", 또는 동등하게 "A 및/또는 B 중 적어도 하나")는, 일 실시예에서, B가 존재하지 않고(선택적으로 B 이외의 요소를 포함함) 선택적으로 하나 초과를 포함하여, 적어도 하나의 A; 다른 실시예에서, A가 존재하지 않고(선택적으로 A 이외의 요소를 포함함) 선택적으로 하나 초과를 포함하여 적어도 하나의 B; 또 다른 실시예에서, 선택적으로 하나 초과를 포함하여 적어도 하나의 A 및 선택적으로 하나 초과를 포함하여 적어도 하나의 B(및 선택적으로 다른 요소를 포함함); 등을 나타낼 수 있다.
명백히 반대로 지시되지 않으면, 하나 초과의 단계 또는 동작을 포함하는 본 명세서에 청구된 임의의 방법에서, 방법의 단계 또는 동작의 순서는 방법의 단계 또는 동작이 상술되는 순서에 반드시 한정되는 것은 아니라는 것이 또한 이해되어야 한다.
청구범위에서, 뿐만 아니라 상기 상세한 설명에서, 모든 "포함하는", "구비하는", "갖춘", "갖는", "함유하는", "수반하는", "소지하는", "~으로 구성된" 등과 같은 모든 연결구는 개방형인 것으로, 즉 포함하지만 이에 한정되는 것은 아닌으로서 이해되어야 한다. 단지 연결구 "~으로 이루어지는" 및 "~으로 필수적으로 이루어지는"은 미국 특허청의 특허 심사 절차의 지침서, 섹션 2111.03에 설명된 바와 같이, 폐쇄형 또는 반폐쇄형 연결구 각각일 것이다. 개방형 전환 구문(예를 들어, "포함하는")를 사용하여 이 문서에 설명된 실시예는 대안 실시예에서, 개방형 전환 구문에 의해 설명된 특징으로 "이루어지는" 및 "본질적으로 이루어지는" 것으로서 또한 고려된다는 것이 이해되어야 한다. 예를 들어, 본 개시내용이 "A 및 B를 포함하는 조성물"을 설명하는 경우, 본 개시내용은 또한 대안 실시예 "A 및 B로 이루어진 조성물" 및 "A 및 B로 본질적으로 이루어진 조성물"을 고려한다.
예를 들어, 하나 이상의 물품, 구조체, 힘, 장, 유동, 방향/궤적, 및/또는 그 서브구성요소 및/또는 이들의 조합 및/또는 이러한 용어에 의한 특징화를 받을 수 있는 상기에 열거되지 않은 임의의 다른 유형 또는 무형의 요소의 또는 이들 사이의 형상, 배향, 정렬, 및/또는 기하학적 관계에 관련된 본 명세서에 사용된 바와 같은 임의의 용어는, 달리 정의되거나 지시되지 않으면, 이러한 주제에 가장 밀접하게 관련되는 통상의 기술자에 의해 이해될 수 있는 바와 같이, 이러한 용어의 수학적 정의에 대한 절대적인 합치를 요구하는 것은 아니고, 오히려 이와 같이 특징화된 주제를 위해 가능한 범위로 이러한 용어의 수학적 정의에 대한 합치를 지시하는 것으로 이해되어야 한다. 형상, 배향 및/또는 기하학적 관계와 관련된 이러한 용어의 예는 둥근형, 정사각형, 원형/원, 직사각형/직사각형, 삼각형/삼각형, 원통형/원통, 타원형/타원, (n)각형/(n)각형 등과 같은 형상; 수직, 직교, 평행, 수직, 수평, 동일선상 등과 같은 각도 배향; 평면/평면형, 동일 평면, 반구형, 반-반구형, 선/선형, 쌍곡선, 포물선, 평면, 곡선, 직선, 아치형, 사인 곡선, 탄젠트/접선 등과 같은 윤곽 및/또는 궤적; 북, 남, 동, 서 등과 같은 방향; 평활, 반사, 투명, 맑음, 불투명, 강성, 불투과성, 균일(하게), 불활성, 비습윤성, 불용성, 안정, 불변, 일정, 균질 등과 같은 표면 및/또는 벌크 재료 속성 및/또는 공간/시간적 분해능 및/또는 분포; 뿐만 아니라 관련 기술 분야의 통상의 기술자에게 명백할 것인 다수의 다른 것들을 설명하는 용어를 포함하지만, 이들에 한정되는 것은 아니다. 일 예로서, 본 명세서에서 "정사각형"인 것으로서 설명될 것인 제작된 물품은 완벽하게 평면형 또는 선형이고 정확하게 90도의 각도로 교차하는 면 또는 측면을 갖는 이러한 물품을 요구하는 것은 아닐 것이고(실제로, 이러한 물품은 수학적 추상으로서만 존재할 수 있음), 오히려 이러한 물품의 형상은 통상의 기술자에 의해 이해될 것인 바와 같은 또는 구체적으로 설명된 바와 같은 언급된 제조 기술에 대해 통상적으로 성취가능하고 성취된 정도로, 수학적으로 정의된 바와 같이, 대략적으로 "정사각형"인 것으로서 해석되어야 한다. 다른 예로서, 본 명세서에서 "정렬된" 것으로서 설명될 것인 2개 이상의 제작된 물품은 완벽하게 정렬된 면 또는 측면을 갖는 이러한 물품을 요구하는 것은 아닐 것이고(실제로, 이러한 물품은 수학적 추상으로서만 존재할 수 있음), 오히려 이러한 물품의 배열은 통상의 기술자에 의해 이해될 것인 바와 같은 또는 구체적으로 설명된 바와 같은 언급된 제조 기술에 대해 통상적으로 성취가능하고 성취된 정도로, 수학적으로 정의된 바와 같이, 대략적으로 "정렬된" 것으로서 해석되어야 한다.

Claims (114)

  1. 장치이며,
    롤러; 및
    연결 아암에 의해 롤러에 연결된 크랭크 및 로커 메커니즘을 포함하는, 장치.
  2. 장치이며,
    롤러;
    크랭크;
    로커; 및
    크랭크를 로커 및 롤러에 결합하도록 구성된 연결 아암을 포함하는, 장치.
  3. 제1항 또는 제2항에 있어서, 롤러를 연결 아암에 결합하도록 구성된 롤러 아암을 더 포함하는, 장치.
  4. 제3항에 있어서, 롤러 아암을 연결 아암에 결합시키도록 구성된 힌지를 더 포함하고;
    힌지는 스프링을 포함하는, 장치.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 연결 아암은 크랭크 및 로커 메커니즘의 구성요소인, 장치.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 롤러는 웨지 형상을 갖는 에지를 포함하는, 장치.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 장치는 모터가 크랭크의 회전을 구동하도록 동작 가능한 구성으로 크랭크의 샤프트에 연결된 모터를 더 포함하는, 장치.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 로커의 샤프트는 로커 샤프트의 회전축이 크랭크 및 로커의 회전 중에 크랭크 샤프트의 회전축에 대해 고정 유지되도록 크랭크의 샤프트에 연결되는, 장치.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 장치는 병진기 나사 및 병진기 로드를 더 포함하고; 로커의 샤프트는 로커 샤프트의 회전축이 고정 유지되고 병진기 나사의 길이를 따라 중심축 및 병진기 로드의 길이를 따라 중심축에 대해 평행하게 유지되도록 병진기 나사 및 병진기 로드에 연결되는, 장치.
  10. 제9항에 있어서, 장치는 모터가 병진기 나사의 회전을 구동하도록 동작 가능한 구성으로 병진기 나사에 연결된 모터를 더 포함하는, 장치.
  11. 제9항 또는 제10항에 있어서, 장치는 로커의 샤프트를 병진기 나사 및 병진기 로드에 연결하는 캐리지를 더 포함하는, 장치.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서, 장치는 1000 마이크로리터 이하의 유체 유동 분해능으로 유체를 수송하도록 구성되는, 장치.
  13. 제1항 내지 제12항 중 어느 한 항에 있어서, 장치는 100 마이크로리터 이하의 유체 유동 분해능으로 유체를 수송하도록 구성되는, 장치.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서, 장치는 50 마이크로리터 이하의 유체 유동 분해능으로 유체를 수송하도록 구성되는, 장치.
  15. 제1항 내지 제14항 중 어느 한 항에 있어서, 장치는 10 마이크로리터 이하의 유체 유동 분해능으로 유체를 수송하도록 구성되는, 장치.
  16. 제1항 내지 제15항 중 어느 한 항에 있어서, 장치는 장치의 어떠한 구성요소도 유체에 의해 습윤되지 않고 유체를 수송하도록 구성되는, 장치.
  17. 제1항 내지 제16항 중 어느 한 항에 있어서, 장치는 장치의 각각의 펌프 사이클이 1 μL 이상의 유체를 수송하도록 구성되는, 장치.
  18. 제1항 내지 제17항 중 어느 한 항에 있어서, 장치는 장치의 각각의 펌프 사이클이 10 μL 이하의 유체를 수송하도록 구성되는, 장치.
  19. 제1항 내지 제18항 중 어느 한 항에 있어서, 장치는 10 mm 이상의 스트로크 길이를 갖도록 구성되는, 장치.
  20. 제1항 내지 제19항 중 어느 한 항에 있어서, 장치는 20 mm 이하의 스트로크 길이를 갖도록 구성되는, 장치.
  21. 카트리지이며,
    채널을 포함하는 표면을 갖는 베이스 층을 포함하고, 채널 중 적어도 몇몇의 적어도 일부는:
    채널의 베이스에 단일 정점을 갖고 베이스 층의 표면에 2개의 다른 정점을 갖는 실질적으로 삼각형 형상의 단면을 갖고;
    채널의 표면 개구를 실질적으로 밀봉하도록 구성된, 엘라스토머를 포함하는 표면층을 갖는, 카트리지.
  22. 제21항에 있어서, 채널 중 적어도 몇몇은 마이크로채널인, 카트리지.
  23. 제21항 또는 제22항에 있어서, 채널 중 적어도 몇몇의 적어도 하나의 부분은 생물학적 재료와 호환성인 실질적으로 강성 재료를 포함하는 베이스와 벽을 갖는, 카트리지.
  24. 제21항 내지 제23항 중 어느 한 항에 있어서, 채널 중 적어도 몇몇의 적어도 일부는 삼각형 부분과 삼각형 부분 내로 개방되고 채널의 표면에 대해 삼각형 부분 아래로 연장하는 제2 부분을 포함하는 단면을 갖고, 제2 부분은 삼각형 부분의 평균 직경보다 상당히 더 작은 직경을 갖는, 카트리지.
  25. 제24항에 있어서, 채널 중 적어도 몇몇은 삼각형 부분을 포함하는 단면을 갖고 제2 부분을 포함하는 단면을 갖지 않는 채널의 길이를 따른 부분과, 삼각형 부분 및 제2 부분을 포함하는 단면을 갖는 채널의 길이를 따른 상이한 부분을 갖는, 카트리지.
  26. 제21항 내지 제25항 중 어느 한 항에 있어서, 채널 중 적어도 몇몇은 저장조에 연결되는, 카트리지.
  27. 제21항 내지 제26항 중 어느 한 항에 있어서, 채널 중 적어도 몇몇은 온도 구역에서 저장조에 연결되는, 카트리지.
  28. 제21항 내지 제27항 중 어느 한 항에 있어서, 채널 중 적어도 몇몇은 전기영동 겔에 연결되는, 카트리지.
  29. 제21항 내지 제28항 중 어느 한 항에 있어서, 채널 중 적어도 몇몇은 1000 마이크로리터 이하의 유체 유동 분해능으로 유체를 수송하도록 구성되는, 카트리지.
  30. 제21항 내지 제29항 중 어느 한 항에 있어서, 채널 중 적어도 몇몇은 100 마이크로리터 이하의 유체 유동 분해능으로 유체를 수송하도록 구성되는, 카트리지.
  31. 제21항 내지 제30항 중 어느 한 항에 있어서, 채널 중 적어도 몇몇은 50 마이크로리터 이하의 유체 유동 분해능으로 유체를 수송하도록 구성되는, 카트리지.
  32. 제21항 내지 제31항 중 어느 한 항에 있어서, 채널 중 적어도 몇몇은 10 마이크로리터 이하의 유체 유동 분해능으로 유체를 수송하도록 구성되는, 카트리지.
  33. 제21항 내지 제32항 중 어느 한 항에 있어서, 엘라스토머는 실리콘을 포함하는, 카트리지.
  34. 제21항 내지 제33항 중 어느 한 항에 있어서, 표면층은 베이스 층의 표면의 일부에 결합되는, 카트리지.
  35. 제21항 내지 제34항 중 어느 한 항에 있어서, 표면층은 접착제에 의해 베이스 층의 표면의 일부에 결합되는, 카트리지.
  36. 제21항 내지 제35항 중 어느 한 항에 있어서, 표면층은 열 적층에 의해 베이스 층의 표면의 일부에 결합되는, 카트리지.
  37. 제21항 내지 제36항 중 어느 한 항에 있어서, 표면층은 베이스 층의 표면의 일부와 직접 접촉하여 인장 상태로 유지되는, 카트리지.
  38. 제21항 내지 제37항 중 어느 한 항에 있어서, 채널의 적어도 몇몇은 각각 표면층을 포함하는 밸브를 포함하는, 카트리지.
  39. 제21항 내지 제38항 중 어느 한 항에 있어서, 밸브는 채널 단부의 기하학적 형상에 의해 형성된 연관 채널의 폐색부를 더 포함하는, 카트리지.
  40. 제21항 내지 제39항 중 어느 한 항에 있어서, 표면층의 적어도 일부는 적어도 하나의 크기의 인가된 압력의 부재시에 평탄한, 카트리지.
  41. 제21항 내지 제40항 중 어느 한 항에 있어서, 표면층의 전체는 적어도 하나의 크기의 인가된 압력의 부재시에 평탄한, 카트리지.
  42. 연동 펌프이며,
    (i) 롤러 및
    (ii) 카트리지를 포함하고, 카트리지는
    채널을 포함하는 표면을 갖는 베이스 층을 포함하고, 채널 중 적어도 몇몇의 적어도 일부는:
    채널의 베이스에 단일 정점을 갖고 베이스 층의 표면에 2개의 다른 정점을 갖는 실질적으로 삼각형 형상의 단면을 갖고;
    채널의 표면 개구를 실질적으로 밀봉하도록 구성된, 엘라스토머를 포함하는 표면층을 갖는, 연동 펌프.
  43. 제42항에 있어서, 채널 중 적어도 몇몇은 마이크로채널인, 연동 펌프.
  44. 제42항 또는 제43항에 있어서, 연결 아암에 의해 롤러에 연결된 크랭크 및 로커 메커니즘을 더 포함하는, 연동 펌프.
  45. 제44항에 있어서,
    롤러를 연결 아암에 결합하도록 구성된 롤러 아암; 및
    롤러 아암을 연결 아암에 결합하도록 구성된 힌지를 더 포함하고;
    힌지는 스프링을 포함하는, 연동 펌프.
  46. 제42항 내지 제45항 중 어느 한 항에 있어서,
    크랭크;
    로커; 및
    크랭크를 로커 및 롤러에 결합하도록 구성된 연결 아암을 더 포함하는, 연동 펌프.
  47. 제42항 내지 제46항 중 어느 한 항에 있어서, 채널 중 적어도 몇몇의 적어도 하나의 부분은 생물학적 재료와 호환성인 실질적으로 강성 재료를 포함하는 베이스와 벽을 갖는, 연동 펌프.
  48. 제42항 내지 제47항 중 어느 한 항에 있어서, 연동 펌프는 1000 마이크로리터 이하의 유체 유동 분해능으로 유체를 수송하도록 구성되는, 연동 펌프.
  49. 제42항 내지 제48항 중 어느 한 항에 있어서, 연동 펌프는 100 마이크로리터 이하의 유체 유동 분해능으로 유체를 수송하도록 구성되는, 연동 펌프.
  50. 제42항 내지 제49항 중 어느 한 항에 있어서, 연동 펌프는 50 마이크로리터 이하의 유체 유동 분해능으로 유체를 수송하도록 구성되는, 연동 펌프.
  51. 제42항 내지 제50항 중 어느 한 항에 있어서, 연동 펌프는 샘플 준비에 사용되도록 구성되는, 연동 펌프.
  52. 제42항 내지 제51항 중 어느 한 항에 있어서, 샘플 준비는 분석, 시퀀싱 또는 식별 중 하나 이상을 위해 샘플을 준비하는 것을 포함하는, 연동 펌프.
  53. 제42항 내지 제52항 중 어느 한 항에 있어서, 샘플은 핵산, 펩타이드, 단백질, 조직, 혈액 및/또는 분비물을 포함하는, 연동 펌프.
  54. 제42항 내지 제53항 중 어느 한 항에 있어서, 롤러는 카트리지의 비습윤 부분에서 카트리지와 인터페이싱하는, 연동 펌프.
  55. 제42항 내지 제54항 중 어느 한 항에 있어서, 카트리지는 제1 카트리지이고, 제1 카트리지는 제거되고 제2 카트리지로 교체될 수 있는, 연동 펌프.
  56. 제42항 내지 제55항 중 어느 한 항에 있어서, 연동 펌프는 연동 펌프의 각각의 펌프 사이클이 1 μL 이상의 유체를 수송하도록 구성되는, 연동 펌프.
  57. 제42항 내지 제56항 중 어느 한 항에 있어서, 연동 펌프는 연동 펌프의 각각의 펌프 사이클이 10 μL 이하의 유체를 수송하도록 구성되는, 연동 펌프.
  58. 제42항 내지 제57항 중 어느 한 항에 있어서, 연동 펌프는 10 mm 이상의 스트로크 길이를 갖도록 구성되는, 연동 펌프.
  59. 제42항 내지 제58항 중 어느 한 항에 있어서, 연동 펌프는 20 mm 이하의 스트로크 길이를 갖도록 구성되는, 연동 펌프.
  60. 장치를 제조하는 방법이며,
    크랭크 아암, 로커 아암 및 롤러를 연결 아암에 연결하는 단계; 및
    로커 샤프트의 회전축이 크랭크 샤프트의 회전축에 대해 고정 유지되도록 로커 아암의 샤프트를 크랭크 아암의 샤프트에 연결하는 단계를 포함하는, 방법.
  61. 제60항에 있어서, 방법은 크랭크 아암, 로커 아암, 연결 아암, 및/또는 롤러를 기계가공 및/또는 사출 성형하는 단계를 더 포함하는, 방법.
  62. 제60항 또는 제61항에 있어서, 로커 아암의 샤프트를 크랭크 아암의 샤프트에 연결하는 단계는 로커 아암의 샤프트와 크랭크 아암의 샤프트를 캐리지에 연결하는 단계를 포함하는, 방법.
  63. 제60항 내지 제62항 중 어느 한 항에 있어서, 크랭크 아암의 샤프트를 크랭크 모터에 연결하는 단계를 더 포함하는, 방법.
  64. 제60항 내지 제63항 중 어느 한 항에 있어서, 롤러를 연결 아암에 연결하는 단계는 롤러 아암을 사용하여 롤러를 연결 아암에 연결하는 단계를 포함하는, 방법.
  65. 제60항 내지 제64항 중 어느 한 항에 있어서, 롤러 아암을 사용하여 롤러를 연결 아암에 연결하는 단계는 스프링을 포함하는 힌지에 의해 롤러 아암을 연결 아암에 연결하는 단계를 포함하는, 방법.
  66. 제60항 내지 제65항 중 어느 한 항에 있어서, 롤러 아암을 기계가공 및/또는 사출 성형하는 단계를 더 포함하는, 방법.
  67. 제60항 내지 제66항 중 어느 한 항에 있어서, 캐리지를 병진기 로드 및 병진기 나사에 연결하는 단계를 더 포함하는, 방법.
  68. 제60항 내지 제67항 중 어느 한 항에 있어서, 병진기 나사를 병진기 모터에 연결하는 단계를 더 포함하는, 방법.
  69. 제60항 내지 제68항 중 어느 한 항에 있어서, 캐리지를 기계가공 및/또는 사출 성형하는 단계를 더 포함하는, 방법.
  70. 제60항 내지 제69항 중 어느 한 항에 있어서, 방법은 하나 이상의 기계적 구성요소를 기계가공하는 단계를 포함하는, 방법.
  71. 제60항 내지 제70항 중 어느 한 항에 있어서, 방법은 하나 이상의 기계적 구성요소를 사출 성형하는 단계를 포함하는, 방법.
  72. 제60항 내지 제71항 중 어느 한 항에 있어서, 사출 성형은 열가소성 사출 성형을 포함하는, 방법.
  73. 제60항 내지 제72항 중 어느 한 항에 있어서, 사출 성형은 정밀 사출 성형을 포함하는, 방법.
  74. 제60항 내지 제73항 중 어느 한 항에 있어서, 방법은 하나 이상의 맞춤형 치수를 갖는 하나 이상의 기계적 구성요소를 얻기 위해 하나 이상의 상업적으로 이용 가능한 기계적 구성요소를 수정하는 단계를 포함하는, 방법.
  75. 제60항 내지 제74항 중 어느 한 항에 있어서, 하나 이상의 상업적으로 이용 가능한 기계적 구성요소를 수정하는 단계는 상업적으로 이용 가능한 병진기 로드의 길이를 맞춤형 길이로 수정하는 단계 및/또는 상업적으로 이용 가능한 병진기 나사의 길이를 맞춤형 길이로 수정하는 단계를 포함하는, 방법.
  76. 제60항 내지 제75항 중 어느 한 항에 있어서, 수정은 기계가공을 포함하는, 방법.
  77. 카트리지를 제조하는 방법이며,
    표면층을 포함하는 표면 물품을 베이스 층과 조립하여 카트리지를 형성하는 단계를 포함하고;
    표면층은 엘라스토머를 포함하고;
    베이스 층은 하나 이상의 채널을 포함하고;
    하나 이상의 채널 중 적어도 몇몇은 실질적으로 삼각형 형상 단면을 갖는, 방법.
  78. 제77항에 있어서, 표면층을 포함하는 표면 물품을 베이스 층과 조립하여 카트리지를 형성하는 단계는 표면층을 베이스 층에 레이저 용접, 음파 용접, 및/또는 접착하는 단계를 포함하는, 방법.
  79. 제77항 또는 제78항에 있어서, 접착제를 사용하여 베이스 층에 표면층을 접착하는 단계를 포함하는, 방법.
  80. 제77항 내지 제79항 중 어느 한 항에 있어서, 미리 제조된 시트 스톡으로부터 엘라스토머를 포함하는 표면층을 다이-절단하는 단계를 더 포함하는, 방법.
  81. 제77항 내지 제80항 중 어느 한 항에 있어서, 표면 물품은 본질적으로 표면층으로 이루어지는, 방법.
  82. 제77항 내지 제81항 중 어느 한 항에 있어서, 표면층을 포함하는 표면 물품을 베이스 층과 조립하여 카트리지를 형성하는 단계는 엘라스토머를 포함하는 표면층을 베이스 층과 밀봉 플레이트 사이에 조립하여 카트리지를 형성하는 단계를 포함하고, 밀봉 플레이트는 하나 이상의 관통 구멍을 포함하는, 방법.
  83. 제77항 내지 제82항 중 어느 한 항에 있어서, 엘라스토머를 포함하는 표면층을 베이스 층과 밀봉 플레이트 사이에 조립하는 단계는 표면층을 표면층의 일 면에서 베이스 층에 그리고 표면층의 다른 면에서 밀봉 플레이트에 레이저 용접, 음파 용접, 및/또는 접착하는 단계를 포함하는, 방법.
  84. 제77항 내지 제83항 중 어느 한 항에 있어서, 엘라스토머를 포함하는 표면층을 하나 이상의 관통 구멍을 포함하는 밀봉 플레이트 상에 오버몰딩하여 표면 물품을 형성하는 단계를 더 포함하고, 표면 물품은 밀봉 플레이트를 더 포함하는, 방법.
  85. 제77항 내지 제84항 중 어느 한 항에 있어서, 밀봉 플레이트의 하나 이상의 관통 구멍 중 적어도 몇몇은 베이스 층의 하나 이상의 채널 중 적어도 몇몇의 형상과 실질적으로 유사한 형상을 갖는, 방법.
  86. 제77항 내지 제85항 중 어느 한 항에 있어서, 밀봉 플레이트의 하나 이상의 관통 구멍을 베이스 층의 대응하는 하나 이상의 채널과 정렬하는 단계를 더 포함하는, 방법.
  87. 제77항 내지 제86항 중 어느 한 항에 있어서, 카트리지의 하나 이상의 기계적 구성요소를 사출 성형하는 단계를 더 포함하는, 방법.
  88. 제77항 내지 제87항 중 어느 한 항에 있어서, 카트리지의 하나 이상의 기계적 구성요소를 사출 성형하는 단계는 밀봉 플레이트를 형성하기 위한 사출 성형을 포함하는, 방법.
  89. 제77항 내지 제88항 중 어느 한 항에 있어서, 카트리지의 하나 이상의 기계적 구성요소를 사출 성형하는 단계는 베이스 층을 형성하기 위한 사출 성형을 포함하는, 방법.
  90. 제77항 내지 제89항 중 어느 한 항에 있어서, 사출 성형은 정밀 사출 성형을 포함하는, 방법.
  91. 제77항 내지 제90항 중 어느 한 항에 있어서, 사출 성형은 경강 툴링에 의한 사출 성형을 포함하는, 방법.
  92. 제77항 내지 제91항 중 어느 한 항에 있어서, 밀봉 플레이트는 경질 플라스틱을 포함하는, 방법.
  93. 제77항 내지 제92항 중 어느 한 항에 있어서, 밀봉 플레이트는 사출 성형 부분인, 방법.
  94. 제77항 내지 제93항 중 어느 한 항에 있어서, 베이스 층은 사출 성형 부분인, 방법.
  95. 제77항 내지 제94항 중 어느 한 항에 있어서, 엘라스토머는 실리콘을 포함하는, 방법.
  96. 제77항 내지 제95항 중 어느 한 항에 있어서, 엘라스토머는 열가소성 엘라스토머를 포함하는, 방법.
  97. 제77항 내지 제96항 중 어느 한 항에 있어서, 표면층은 본질적으로 엘라스토머로 이루어지는, 방법.
  98. 펌프를 제조하는 방법이며,
    표면층을 포함하는 표면 물품을 베이스 층과 조립하여 카트리지를 형성하는 단계;
    롤러를 포함하는 장치를 조립하는 단계; 및
    롤러 아래에 카트리지를 위치설정하는 단계를 포함하고;
    표면층은 엘라스토머를 포함하고;
    베이스 층은 하나 이상의 채널을 포함하고;
    하나 이상의 채널 중 적어도 몇몇은 실질적으로 삼각형 형상 단면을 갖는, 방법.
  99. 제98항에 있어서, 제60항 내지 제76항 중 어느 한 항의 방법에 의해 장치를 제조하는 단계를 더 포함하는, 방법.
  100. 제98항 또는 제99항에 있어서, 제77항 내지 제97항 중 어느 한 항의 방법에 의해 카트리지를 제조하는 단계를 더 포함하는, 방법.
  101. 방법이며,
    롤러가 기판 표면과 결합 및/또는 분리되도록 제2항 내지 제20항 또는 제44항 내지 제59항 중 어느 한 항의 크랭크를 회전시키는 단계를 포함하는, 방법.
  102. 제101항에 있어서, 기판 표면은 카트리지의 표면층의 외부 표면인, 방법.
  103. 제101항 또는 제102항에 있어서, 기판 표면과 맞물리는 단계는 엘라스토머를 포함하는 표면층의 제1 부분을 유체를 포함하는 채널로 변형하여, 표면층의 제1 부분의 내부 표면이 표면층의 제1 부분의 내부 표면에 근접한 채널의 베이스 및/또는 벽의 제1 부분과 접촉하게 되는 단계를 포함하는, 방법.
  104. 제103항에 있어서, 표면층의 제1 부분을 변형하는 단계는 표면층의 제1 부분을 탄성 변형하는 단계를 포함하는, 방법.
  105. 제103항 또는 제104항에 있어서, 채널은 마이크로채널인, 방법.
  106. 제101항 내지 제105항 중 어느 한 항에 있어서, 기판 표면으로부터 분리하는 단계는 유체를 포함하는 채널 내의 엘라스토머를 포함하는 표면층의 제1 부분으로부터 변형을 제거하여, 표면층의 제1 부분의 내부 표면이 표면층의 제1 부분의 내부 표면에 근접한 채널의 베이스 및/또는 벽의 제1 부분과 더 이상 접촉하지 않게 되는 단계를 포함하는, 방법.
  107. 제106항에 있어서, 표면층의 제1 부분의 변형은 표면층의 제1 부분을 탄성 변형인, 방법.
  108. 제106항 또는 제107항에 있어서, 채널은 마이크로채널인, 방법.
  109. 방법이며,
    엘라스토머를 포함하는 표면층의 제1 부분을 유체를 포함하는 채널로 변형하여, 표면층의 제1 부분의 내부 표면이 표면층의 제1 부분의 내부 표면에 근접한 채널의 베이스 및/또는 벽의 제1 부분과 접촉하게 되는 단계; 및
    이 변형을 표면층의 제2 부분에 병진하여 표면층의 제2 부분의 내부 표면이 표면층의 제2 부분의 내부 표면에 근접한 채널의 베이스 및/또는 벽의 제2 부분에 접촉하게 되는 단계를 포함하고;
    표면층은 채널의 표면 개구를 밀봉하도록 구성되는, 방법.
  110. 제109항에 있어서, 채널은 마이크로채널인, 방법.
  111. 제109항 또는 제110항에 있어서, 표면층의 제1 부분을 변형하는 단계는 표면층의 제1 부분을 탄성 변형하는 단계를 포함하는, 방법.
  112. 제109항 내지 제111항 중 어느 한 항에 있어서, 표면층의 제1 부분을 변형하는 단계는 롤러로 표면층의 제1 부분을 변형하는 단계를 포함하는, 방법.
  113. 제112항에 있어서, 표면층의 제2 부분으로 변형을 병진시키는 단계는 표면층의 제2 부분의 내부 표면이 표면층의 제2 부분의 내부 표면에 근접한 채널의 베이스 및/또는 벽의 제2 부분과 접촉하도록 표면층을 따라 롤러를 롤링하는 단계를 포함하는, 방법.
  114. 제109항 내지 제113항 중 어느 한 항에 있어서, 표면층의 제1 부분을 변형시키는 단계 및/또는 표면층의 제2 부분으로 변형을 병진시키는 단계는 유체가 밸브를 통과할 수 있도록 채널 내의 밸브가 작동하게 하는, 방법.
KR1020227017669A 2019-10-29 2020-10-28 유체의 연동 펌핑 및 연관 방법, 시스템, 및 디바이스 KR20220101108A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962927385P 2019-10-29 2019-10-29
US62/927,385 2019-10-29
PCT/US2020/057768 WO2021086985A1 (en) 2019-10-29 2020-10-28 Peristaltic pumping of fluids and associated methods, systems, and devices

Publications (1)

Publication Number Publication Date
KR20220101108A true KR20220101108A (ko) 2022-07-19

Family

ID=73544304

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227017669A KR20220101108A (ko) 2019-10-29 2020-10-28 유체의 연동 펌핑 및 연관 방법, 시스템, 및 디바이스

Country Status (10)

Country Link
US (1) US20210121874A1 (ko)
EP (1) EP4051903A1 (ko)
JP (1) JP2023502329A (ko)
KR (1) KR20220101108A (ko)
CN (1) CN114930028A (ko)
AU (1) AU2020372908A1 (ko)
BR (1) BR112022008098A2 (ko)
CA (1) CA3159566A1 (ko)
MX (1) MX2022005183A (ko)
WO (1) WO2021086985A1 (ko)

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1653397A1 (de) * 1966-01-10 1971-07-08 Ceskoslovenska Akademie Ved Verfahren und Einrichtung zum Foerdern von Fluessigkeiten in duennen Schlaeuchen
WO1995009987A1 (en) * 1993-10-04 1995-04-13 Research International, Inc. Micromachined fluid flow regulators
JP4464317B2 (ja) * 2005-05-13 2010-05-19 株式会社日立プラントテクノロジー マイクロ流体装置とその継手
DE102006016806A1 (de) * 2006-04-10 2007-10-11 Robert Bosch Gmbh Verdrängermaschine
EP1925364A1 (en) * 2006-11-23 2008-05-28 Nederlandse Organisatie voor Toegepast-Natuuurwetenschappelijk Onderzoek TNO Multiple microfluidic connector
TWI368686B (en) * 2008-04-08 2012-07-21 Univ Nat Sun Yat Sen Actuated mechanism of four-stroke piston
EP2396549B1 (en) * 2009-02-12 2019-10-23 MC3, Inc. Modular fluid pump with cartridge
CN201511547U (zh) * 2009-10-19 2010-06-23 佛山市南海东方纸箱机械实业有限公司 往复式前缘滚轮送纸装置的轮轴驱动机构
CN102939160B (zh) * 2010-04-16 2016-10-12 欧普科诊断有限责任公司 用于样本分析的系统和装置
WO2012048261A2 (en) * 2010-10-07 2012-04-12 Vanderbilt University Peristaltic micropump and related systems and methods
EP2441484A1 (de) * 2010-10-13 2012-04-18 Fresenius Kabi Deutschland GmbH Pumpenmodul, Pumpenbasismodul und Pumpenssystem
EP2663396B1 (en) * 2011-01-13 2021-12-15 Patheon Austria GmbH & Co KG Oscillating flow minireactor for processing solid suspensions
JP5861341B2 (ja) * 2011-09-12 2016-02-16 頴 小西 ポンプ装置
WO2016049533A1 (en) * 2014-09-26 2016-03-31 Abbott Point Of Care Inc. Cartridge device with fluidic junctions for coagulation assays in fluid samples
US10564171B2 (en) * 2015-01-30 2020-02-18 Hewlett-Packard Development Company, L.P. Diagnostic chip
NZ748591A (en) * 2016-04-26 2020-04-24 Remus Brix Anders Haupt Fluidic peristaltic layer pump
CN207295166U (zh) * 2017-07-05 2018-05-01 诸暨玛雅电器机械有限公司 绣花机机头轴承式曲柄直线驱动机构
CA3086769A1 (en) * 2018-01-08 2019-07-11 Quantum-Si Incorporated System and methods for electrokinetic loading of sub-micron-scale reaction chambers

Also Published As

Publication number Publication date
JP2023502329A (ja) 2023-01-24
AU2020372908A1 (en) 2022-06-02
WO2021086985A1 (en) 2021-05-06
BR112022008098A2 (pt) 2022-07-12
CN114930028A (zh) 2022-08-19
US20210121874A1 (en) 2021-04-29
CA3159566A1 (en) 2021-05-06
EP4051903A1 (en) 2022-09-07
MX2022005183A (es) 2022-08-08

Similar Documents

Publication Publication Date Title
US11339433B2 (en) Nucleic acid sequencing systems
JP6838127B2 (ja) 統合された移送モジュールを有する試験カートリッジ
US20200384470A1 (en) Devices and methods for sample analysis
EP1943495B1 (en) Device and method for the detection of particles
US11931736B2 (en) Systems and methods for serial flow emulsion processes
JP2018141798A (ja) 試料使用の極大化のためのシステム及び方法
Baker et al. Recent advances in microfluidic detection systems
EP1641565B1 (fr) Procede et dispositif d&#39;analyse chimique ou biologique par senseur a chambre monolithique en gerbe multi-micro-tubulaire et transducteur lateral de mesure integrale
US20140227772A1 (en) Integrated modular unit including an analyte concentrator-microreactor device connected to a cartridge-cassette
US20210121875A1 (en) Peristaltic pumping of fluids for bioanalytical applications and associated methods, systems, and devices
JP2022536493A (ja) 自動化された単一細胞処理及び解析のためのシステム及び方法
CA2829178A1 (en) Rapid quantification of biomolecules in a selectively functionalized nanofluidic biosensor and method thereof
KR20220101108A (ko) 유체의 연동 펌핑 및 연관 방법, 시스템, 및 디바이스
US20220341959A1 (en) Devices and methods for loading of fluidic receptacles
JP2024518758A (ja) 流体レセプタクルを装填するためのデバイスおよび方法
WO2024011134A1 (en) Systems, devices and methods for multiplexed analysis