KR20220052968A - 고순도 산화주석의 증착을 위한 유기금속 화합물 및 산화주석 필름의 건조 에칭 및 증착 반응기 - Google Patents

고순도 산화주석의 증착을 위한 유기금속 화합물 및 산화주석 필름의 건조 에칭 및 증착 반응기 Download PDF

Info

Publication number
KR20220052968A
KR20220052968A KR1020227009444A KR20227009444A KR20220052968A KR 20220052968 A KR20220052968 A KR 20220052968A KR 1020227009444 A KR1020227009444 A KR 1020227009444A KR 20227009444 A KR20227009444 A KR 20227009444A KR 20220052968 A KR20220052968 A KR 20220052968A
Authority
KR
South Korea
Prior art keywords
group
carbon atoms
independently selected
organometallic compound
formula
Prior art date
Application number
KR1020227009444A
Other languages
English (en)
Inventor
다이애나 패불야크
라제시 오데드라
웨슬리 필립 그래프
숀 챔벨라
캐시디 코노버
Original Assignee
시스타 케미칼즈 유엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 시스타 케미칼즈 유엘씨 filed Critical 시스타 케미칼즈 유엘씨
Publication of KR20220052968A publication Critical patent/KR20220052968A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • C07F7/2288Compounds with one or more Sn-metal linkages
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/53After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone involving the removal of at least part of the materials of the treated article, e.g. etching, drying of hardened concrete
    • C04B41/5338Etching
    • C04B41/5346Dry etching
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/91After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics involving the removal of part of the materials of the treated articles, e.g. etching
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F19/00Metal compounds according to more than one of main groups C07F1/00 - C07F17/00
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F3/00Compounds containing elements of Groups 2 or 12 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/22Tin compounds
    • C07F7/2224Compounds having one or more tin-oxygen linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Ceramic Engineering (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

고순도 산화주석의 증착을 위해 유용한 하기 화학식 I:Qx-Sn-(A1R1' z)4-x 또는 하기 화학식 II: Sn(NR2(CH2)nA2)의 특정 유기금속 화합물뿐만 아니라 이러한 화합물을 사용하는 방법이 개시되어 있다. 또한, 조합하여 안정성을 개선하는 고순도 산화주석의 증착을 위해 유용한 유기금속 화합물의 조성물이 개시되어 있다. 또한 특정 에칭제 가스를 사용하여 산화주석을 건조 에칭하는 방법 및/또는 특정 첨가제와 함께 특정 에칭제 가스를 사용하여 기판을 건조 에칭하는 방법이 개시되어 있다.

Description

고순도 산화주석의 증착을 위한 유기금속 화합물 및 산화주석 필름의 건조 에칭 및 증착 반응기
본 개시내용은 고순도 산화주석의 증착에 유용한 유기금속 화합물, 및 이러한 산화주석 필름을 건조 에칭하기 위한 건조 에칭 공정 및 산화주석 필름 증착 방법에 사용되는 증착 반응기에 관한 것이다. 보다 구체적으로, 본 개시내용은 고순도 산화주석의 증착에 유용한 특정 화합물뿐만 아니라 고순도 산화주석의 증착에 유용한 화합물의 저장 동안 더 양호한 안정성을 야기하는 조성물을 기재한다. 또한, 본 개시내용은 특정 에칭제 가스를 사용한 산화주석을 건조 에칭하기 위한 공정 및/또는 특정 첨가제와 함께 특정 에칭제 가스를 사용하여 기판을 건조 에칭하기 위한 공정을 기재한다.
다음의 배경기술의 논의에서, 특정 구조 및/또는 방법을 참조한다. 그러나, 다음 참조는 이러한 구조 및/또는 방법이 선행 기술을 구성하는 것의 인정으로서 해석되어서는 안된다. 출원인은 이러한 구조 및/또는 방법이 선행 기술의 자격을 갖지 않는다는 것을 입증할 권리를 명백하게 보유한다.
반도체 산업은 전자 장치의 처리 동안 산화주석 컨포멀 필름을 점점 더 많이 이용하고 있다. 이러한 필름은 화학 기상 증착 (CVD) 또는 원자층 증착 (ALD)을 사용하여 제조될 수 있다.
원하는 필름을 얻기 위해, 증착 공정 동안 사용되는 반응 화합물은 양호한 반응성을 나타내면서 열적으로 안정하여야 한다. 이러한 특성으로 인해 분해가 일어나지 않고 화합물을 증착 챔버로 전달할 수 있다. 분해는 균일하지 않거나 증착 필름에서 다른 결함을 야기할 것인 증착된 필름을 초래한다. 본 발명의 화합물에 의해 증명된 바와 같이 우수한 안정성 및 반응성 프로파일은 또한 성장 챔버로 전달되는 데 필요한 재료가 적고 (재료가 적을수록 더 경제적이고), (펌핑되어 제거되는 공정의 종료시 챔버에 남아 있는 재료가 적을 것이기 때문에) 사이클링이 더 빠를 것을 의미하고, 이는 더 두꺼운 필름이 더 짧은 시간 내에 증착될 수 있고 이로써 처리량이 증가하는 것을 의미한다. 열 안정성은 또한 합성 이후에 재료가 보다 용이하게 정제되고, 취급이 더 용이하게 될 수 있다는 것을 의미한다.
바람직한 열 안정성 및 양호한 반응성을 갖는 화합물을 찾기 위한 몇몇 시도가 있었지만, 산업의 증가된 요구 사항을 충족할 수 있는 개선된 열 안정성 및/또는 반응성을 갖는 화합물에 대한 필요성이 존재한다.
또한 저장 동안 반응 화합물의 안정성을 보장하고자 하는 요구가 있다. 산화주석 필름을 형성하기 위해 사용되는 다수의 반응 화합물은 불균등화하거나 중합하는 것으로 알려져 있다. 이는 반응 화합물이 증착 공정에 사용되기 전에 일정 기간 동안 저장될 때 문제를 일으킬 수 있다. 따라서, 산화주석 필름을 형성하기 위한 증착 공정에서 사용되는 반응 가스의 저장 안정성을 증가시키고 불균등화의 영향을 감소시킬 필요성이 있다.
원자층 증착 (ALD) 시스템에서, 반응 가스는 원하는 재료의 컨포멀 박막의 자기 제한적 성장을 제공하기 위해 순차적으로 도입된다. 두 경우에서, 반응기는 사용되는 화학물질과 반응하지 않는 재료로 제조된 챔버이다. 이는 또한 고온을 견뎌야 한다. 이 챔버는 반응기 벽, 라이너, 받침대, 가스 주입 유닛, 및 온도 조절 유닛을 포함한다. 보통, 반응기 벽은 알루미늄, 스테인리스 강, 또는 석영으로 제조된다. 세라믹 예컨대 Al2O3, Y2O3, 또는 다른 신규한 세라믹, 또는 특정 유리, 예컨대 석영은 종종 반응기 벽과 받침대 사이의 반응기 챔버 내의 라이너로서 사용된다. 과열을 방지하기 위해, 냉각재, 예컨대 물은 반응기 벽 내의 채널을 통해 유동할 수 있다. 기판은 조절된 온도에서 유지되는 받침대 상에 놓인다. 받침대는 사용되는 금속유기 화합물에 대해 저항성인 물질로 제조되고; 흑연이 때때로 사용된다.
박막 증착이 실시되는 경우, 필름은 원하는 표면 상에 증착될 뿐만 아니라 받침대, 벽면 및 천장을 포함하는 MOCVD 또는 ALD 반응기의 내부 표면 모두에 증착된다. 반응기는 보다 빈번하게 세척되지 않고 사용되면, 증착물이 더 두꺼워진다. 증착물은 결국 박리되기 시작하여 기판 웨이퍼에 낙하될 수 있는 입자를 생성하여 이를 오염시키고 더 낮은 수율을 초래할 것이다. 반응기 챔버를 통해 흐르는 반응 가스도 침전물에 의해 오염될 수 있다. 이를 회피하기 위해, 반응기는 정기적으로 세척되어야 한다. 반응기에 사용된 구성 및 재료에 따라, 효과적인 세척은 완전한 반응기 스트립 하향 및 습식 세척을 필요로 할 수 있고, 이는 시간 소모적이고, 반응기 효율을 감소시킨다. 또한, 반응기는 통상적으로 316L 및 304 스테인리스 강, 탄화규소, 흑연, 텅스텐, 알루미늄, 열분해 질화붕소, 다른 세라믹 및/또는 에틸렌 프로필렌 디엔(EPDN) 중합체와 같은 광범위한 재료로 구성된다. 상이한 유형의 에칭제 또는 다른 세정제를 사용하지 않고 모든 이러한 유형의 표면으로부터 증착물을 세척하기 어려울 수 있다. 반응기 내부를 세척하는 더 간단하고 효과적인 수단이 이에 따라 요망된다.
또한, 수많은 박막 제조 방법은 증착 후 에칭 단계를 포함한다. 챔버의 벽 상의 증착물 중 적어도 일부는 박막으로부터 에칭되는 것과 동일한 재료이다.
산화주석 (SnO2)을 포함하는 단일 웨이퍼의 생산을 위한 ALD 반응기는 반응 챔버의 에칭 및 세척에 대한 특정 문제점을 제공한다. 예를 들어, 이러한 반응기는 매우 부식성인 Cl 또는 Br 기반 화학물질을 사용할 수 있고, 이는 챔버 재료 분해를 야기하고, 재료 응력을 유도하는 고온을 요구하고, ALD 챔버의 기저 물질을 공격하고, 및/또는 챔버 벽을 보호하기 위해 챔버 차폐물 또는 삽입물을 필요로 한다. 산화주석용 ALD 반응기를 세척하는 경우, H2, CH4, 또는 다른 환원 화학물질을 사용하는 것은 더 긴 에칭 시간을 필요로 하고, 배출 전 반응기의 표면 상에서 응축되는 부산물을 갖는다. CH4의 사용은 또한 Me-Sn-O를 함유하는 고체 산화주석을 야기할 수 있고, 이는 Sn-O 잔류물보다 더 독성인 것으로 알려져 있다. 산화주석의 경우의 부산물은 저온 분해되기 쉽고, -10 내지 -30℃ 미만으로의 온도 조절이 필요하며 이는 장치 구조를 복잡하게 한다. 또한, 환원 분위기는 패시베이션 층으로 작용할 수 있는 금속으로 금속 산화물을 환원되게 할 수 있다.
US20040014327A1 "높은 유전율 물질을 에칭하고 높은 유전율 물질에 대한 증착 챔버를 세척하기 위한 방법"은 다른 에칭제 COCl2는 금속 산화물을 에칭하기 위해 사용될 수 있는 것을 교시하고 있다. 그러나, COCl2는 매우 독성이 강한 신경 작용제이다. 에칭제로서의 말로닐 클로라이드의 사용은 유사하게 가능할 것이지만, 말로닐 클로라이드는 매우 불안정하고, 액체로서 실온에서 분해되고 이에 따라 충분하게 높은 순도를 얻기 어려울 것이고, 더 장기간 동안 수송하고 저장하는 것이 어려울 것이다. 따라서, 상기 문제점을 해결하는 에칭제에 대한 필요성이 존재한다.
요약
본 개시내용은 고순도 산화주석의 증착을 위해 유용한 화합물을 제공한다.
유기금속 화합물은 하기 화학식 I의 것을 포함한다:
Qx-Sn-(A1R1' z)4-x 화학식 I
식 중:
Q는 OR1 또는 Cp이고;
각각의 R1 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고;
각각의 R1' 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 또는 아릴 기로 이루어진 군으로부터 선택되고;
x는 0 내지 3의 정수이고;
x가 0인 경우 A는 O이고, x가 1 내지 3의 정수인 경우 A는 N이고; 그리고
A가 O인 경우 z는 1이고, A가 N인 경우 z는 2이다.
또한 이러한 화합물을 사용하는 산화주석의 증척이 개시되어 있다. 본원에 개시된 방법에서의 화학식 I의 화합물의 사용은 산화주석의 화학 기상 증착 (CVD) 및 원자층 증착 (ALD)을 가능하게 한다.
구현예에서, Q는 Cp이고 이는 시클로펜타디에닐 리간드이다. 일부 구현예에서, x는 1이고, 화학식 I의 화합물은 하기 화학식: Cp-Sn-(NR1' 2)3으로 표시되고, 여기서 각각의 R1' 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 또는 아릴 기로 이루어진 군으로부터 선택된다.
구현예에서, x는 1 내지 3의 정수이다. 이러한 구현예에서, 화학식 I의 화합물은 하기 화학식: (OR1)x-Sn-(NR1' 2)4-x로 표시되고, 여기서 각각의 R1' 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 또는 아릴 기로 이루어진 군으로부터 선택되고 x는 1 내지 3의 정수이다.
다른 구현예에서, 각각의 R1 기 및 각각의 R1' 기는 1 내지 10개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기이다. 각각의 R1 기 및 각각의 R1' 기는 1 내지 6개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기일 수 있는 것으로 고려된다. 구현예에서, 각각의 R1 기 및 각각의 R1' 기는 1 내지 4개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기이다. 구현예에서, 각각의 R1 기 및 각각의 R1' 기는 독립적으로 메틸, 에틸, 프로필, 이소-프로필, tert-부틸, 이소-부틸 및 n-부틸로 이루어진 군으로부터 선택된다. 구현예에서, 각각의 R1 기 및 각각의 R1' 기는 상이한 알킬, 아실, 또는 아릴 기, 특히 상이한 알킬 기를 나타낸다.
구현예에서, x는 4이다. 이러한 구현예에서, 화학식 I의 화합물은 하기 화학식: Sn(OR1)4로 표시되고, 여기서 각각의 R1 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬, 아실, 또는 아릴 기로 이루어진 군으로부터 선택된다.
다른 구현예에서, 각각의 R1' 기는 1 내지 10개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기이다. 각각의 R1' 기는 1 내지 6개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기일 수 있는 것으로 고려된다. 구현예에서, 각각의 R1' 기는 1 내지 4개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기이다. 구현예에서, 각각의 R1' 기는 독립적으로 메틸, 에틸, 프로필, 이소-프로필, tert-부틸, 이소-부틸 및 n-부틸로 이루어진 군으로부터 선택된다. 구현예에서, 각각의 R1' 기는 상이한 알킬, 아실, 또는 아릴 기, 특히 상이한 알킬 기를 나타낸다.
구현예에서, 유기금속 화합물은 (MeO)2Sn(NMe2)2, (MeO)2Sn(NEtMe)2, (MeO)3Sn(NMe2), (MeO)3Sn(NEtMe), Sn(OMe)4, CpSn(NMe2)3, 및 CpSn(NMeEt)3으로 이루어진 군으로부터 선택된다.
다른 유기금속 화합물은 하기 화학식 II의 것을 포함한다:
Sn(NR2(CH2)nA2)2 화학식 II
식 중:
각각의 A2는 독립적으로 NR2' 또는 O로부터 선택되고;
각각의 R2 기는 독립적으로 수소 및 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고;
각각의 R2' 기는 독립적으로 수소 및 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 또는 아릴 기로 이루어진 군으로부터 선택되고;
n은 2 또는 3이고;
선택적으로 NR2(CH2)nNR2'는 환형 구조를 형성하고;
선택적으로 (CH2) 중의 적어도 하나는 1 내지 10개의 탄소 원자를 갖는 알킬 기로의 하나 이상의 치환을 갖는다.
또한 이러한 화합물을 사용하는 산화주석의 증착이 개시된다. 본원에 개시된 방법에서의 화학식 II의 화합물의 사용은 산화주석의 화학 기상 증착 (CVD) 및 원자층 증착 (ALD)을 가능하게 한다.
구현예에서, A2는 O이고, 화학식 II는 하기 화학식 IIa: Sn(NR2(CH2)nO)2로 표시되고, 여기서 각각의 R2 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로부터 선택되거나, 또는 1 내지 10개의 탄소 원자, 1 내지 6개의 탄소 원자, 또는 1 내지 4개의 탄소 원자를 갖는 알킬 기로부터 선택된다. 구현예에서, 각각의 R2 기는 독립적으로 메틸, 에틸, 프로필, 이소-프로필, tert-부틸, 이소-부틸 및 n-부틸로 이루어진 군으로부터 선택된다.
구현예에서, 각각의 R2 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 기로부터 선택된다. 각각의 R2 기는 1 내지 6개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기일 수 있는 것으로 고려된다. 구현예에서, 각각의 R2 기는 1 내지 4개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기이다. 구현예에서, 각각의 R2 기는 독립적으로 메틸, 에틸, 프로필, 이소-프로필, tert-부틸, 이소-부틸 및 n-부틸로 이루어진 군으로부터 선택된다. 구현예에서, 각각의 R2 기는 상이한 알킬, 아실, 또는 아릴 기, 특히 상이한 알킬 기를 나타낸다.
구현예에서, 각각의 R2' 기는 독립적으로 수소 또는 1 내지 10개의 탄소 원자를 갖는 알킬 기로부터 선택된다. 각각의 R2' 기는 독립적으로 수소 또는 1 내지 6개의 탄소 원자를 갖는 알킬 기로부터 선택될 수 있는 것으로 고려된다. 구현예에서, 각각의 R2' 기는 독립적으로 수소 또는 1 내지 4개의 탄소 원자를 갖는 알킬 기로부터 선택된다. 구현예에서, 각각의 R2' 기는 독립적으로 메틸, 에틸, 프로필, 이소-프로필, tert-부틸, 이소-부틸 및 n-부틸로 이루어진 군으로부터 선택된다. 구현예에서, 각각의 R2' 기는 수소 또는 상이한 알킬, 아실, 또는 아릴 기, 특히 상이한 알킬 기를 나타낸다.
구현예에서, n은 2이다. 다른 구현예에서, n은 3이다.
구현예에서, (CH2) 중의 적어도 하나는 1 내지 10개의 탄소 원자, 또는 1 내지 6개의 탄소 원자, 또는 1 내지 4개의 탄소 원자를 갖는 알킬 기로의 하나 이상의 치환을 갖는다. 대안적으로, 하나 이상의 치환은 메틸 또는 에틸로의 것이다. 특정 구현예에서, (CH2) 중의 유일한 하나는 알킬 기, 예컨대 메틸 또는 에틸로의 하나 이상의 치환을 갖는다. 보다 특정한 구현예에서, (CH2) 중의 하나는 2개의 메틸 기로 치환된다.
구현예에서, 화학식 II는,
화학식 IIb:
Figure pct00001
로 표시되고, 식 중:
각각의 R2 기는 독립적으로 수소 및 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고;
각각의 R2' 기는 독립적으로 수소 및 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 또는 아릴 기로 이루어진 군으로부터 선택되고;
각각의 R2'' 기 및 각각의 R2''' 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기이다.
구현예에서, 화학식 IIb의 R2, R2', R2'', 또는 R2''' 기는 독립적으로 수소 또는 1 내지 10개의 탄소 원자, 1 내지 6개의 탄소 원자, 또는 1 내지 4개의 탄소 원자를 갖는 알킬 기로부터 선택된다. 화학식 IIb의 특정 구현예에서, 각각의 R2 및 R2'는 수소이고, R2'' 및 R2'' 기는 1 내지 10개의 탄소 원자, 1 내지 6개의 탄소 원자, 또는 1 내지 4개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기이다. 화학식 IIb의 보다 특정한 구현예에서, 각각의 R2 및 R2'는 수소이고, 각각의 R2'' 및 R2''' 기는 독립적으로 에틸 또는 메틸로부터 선택된다.
일부 구현예에서, A2가 NR2'인 경우, NR(CH2)nNR2'는 환형 구조를 형성한다. 환형 구조의 특정 구현예에서, R2 및 R2' 기는 메틸 또는 에틸로부터 선택된 알킬 기이다. 구현예에서, 화학식 II는 하기 화학식 IIc로 표시된다:
화학식 IIc:
Figure pct00002
.
구현예에서, 각각의 (NR2(CH2)nA2)는 N,N'-디메틸에틸렌디아민 (NMe(CH2)2NMe), 피페라진 (N2C4H8), N,N'-디에틸에틸렌디아민 (NEt(CH2)2NEt), N,N'-디이소프로필에틸렌디아민 (NiPr(CH2)2NiPr), N,N'-디-tert-부틸에틸렌디아민 (NtBu(CH2)2NtBu), N,N'-디메틸-1,3-프로판디아민 (NMe(CH2)3NMe), 2,2-디메틸-1,3-프로판디아민 (NH(CH2)(C(CH3))(CH2)NH), 2-(메틸아미노)에탄올 (NMe(CH2)2O), 및 2-(에틸아미노)에탄올 (NEt(CH2)2O)로 이루어진 군으로부터 선택된다.
본 개시내용은 제2 유기금속 화합물과 제1 유기금속 화합물을 조합하여 저장 안정성을 증가시키도록 제1 유기금속 화합물의 불균등화를 감소시키는 조성물을 제공한다.
조성물은 하기를 포함한다:
하기 화학식 III로 표시되는 제1 유기금속 화합물, 및
하기 화학식 IV로 표시되는 제2 유기금속 화합물:
R3 2Sn(NR3' 2)2 화학식 III
식 중:
각각의 R3 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고;
각각의 R3' 기는 독립적으로1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고;
Sn(NR4 2)4 화학식 IV
식 중, 각각의 R4 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택된다.
다른 구현예에서, 각각의 R3, R3', 및 R4 기는 1 내지 10개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기이다. 각각의 R3, R3', 및 R4 기는 1 내지 6개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기일 수 있는 것으로 고려된다. 구현예에서, 각각의 R3, R3', 및 R4 기는 1 내지 4개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기이다. 구현예에서, 각각의 R3, R3', 및 R4 기는 독립적으로 메틸, 에틸, 프로필, 이소-프로필, tert-부틸, 이소-부틸 및 n-부틸로 이루어진 군으로부터 선택된다. 구현예에서, 각각의 R3, R3', 및 R4 기는 상이한 알킬, 아실, 또는 아릴 기, 특히 상이한 알킬 기를 나타낸다.
구현예에서, 제2 유기금속 화합물은 Sn(NMe2)4, Sn(NEt2)4, 및 Sn(NMeEt)4로 이루어진 군으로부터 선택된다.
본 개시내용은 에칭하고 ALD 반응기, 특히 산화주석 제조에서의 ALD 반응기를 세척하는 종래의 방법의 상기 논의된 문제점을 해결하는 신규한 에칭 공정을 제공한다.
상기 논의된 문제점을 해결하는 하나의 공정은 반응기 챔버의 내부 표면으로부터 또는 상기 반응기 챔버 내의 기판으로부터 산화주석 증착물을 제거하기 위한 방법을 포함하고, 이는,
상기 반응기 챔버 내로 에칭제 가스를 주입하는 단계로서, 상기 에칭제 가스는 일반 화학식 A3OmXn의 것이고, 식 중: A3는 C, N, 및 S로 이루어진 군으로부터 선택되고; O는 산소이고; 각각의 X는 독립적으로 할로겐으로 이루어진 군으로부터 선택되고; 하첨자 mn은 0 초과인 단계;
상기 주입 이전 또는 이후에 상기 에칭제 가스를 활성화시키는 단계;
상기 활성화된 에칭제 가스 및 상기 증착물 사이에서 에칭 반응이 진행될 수 있게 하는 단계; 및
에칭 반응의 기체 생성물과 함께 에칭제 가스를 배출시키는 단계를 포함한다.
상기 논의된 문제점을 해결하는 다른 공정은 반응기 챔버의 내부 표면으로부터 또는 상기 반응기 챔버 내의 기판으로부터 증착물을 제거하기 위한 방법을 포함하고, 이는,
상기 반응기 챔버 내로 에칭제 가스 및 첨가제를 주입하는 단계로서, 상기 에칭제 가스는 일반 화학식 A3OmXn의 것이고, 식 중: A3는 C, N, 및 S로 이루어진 군으로부터 선택되고; O는 산소이고; 각각의 X는 독립적으로 할로겐으로 이루어진 군으로부터 선택되고; 하첨자 mn은 0 초과이고; 상기 첨가제는 일반 화학식 CxHyOz의 것이고, 식 중, 하첨자 x z는 0 초과인 단계;
상기 주입 이전 또는 이후에 상기 에칭제 가스를 활성화시키는 단계;
상기 활성화된 에칭제 가스 및 상기 증착물 사이에서 에칭 반응이 진행될 수 있게 하는 단계; 및
에칭 반응의 기체 생성물과 함께 에칭제 가스를 배출시키는 단계를 포함한다.
상기 공정 중 어느 하나에 따른 구현예에서, X는 2개의 상이한 할로겐의 조합을 나타낸다.
상기 공정 중 어느 하나에 따른 구현예에서, 상기 에칭제 가스를 발생시키는 것은 상기 챔버로의 상기 주입 전에 실시된다.
상기 공정 중 어느 하나에 따른 구현예에서, 액체 화학 성분을 통해 캐리어 가스를 버블링시켜 상기 액체 화학 성분을 상기 에칭제로 휘발시키는 것은 상기 챔버로의 상기 에칭제 가스의 상기 주입 전에 실시된다.
상기 공정 중 어느 하나에 따른 구현예에서, 상기 에칭제 가스는 복수의 액체 화학 성분을 통해 캐리어 가스를 버블링시키고 이후 생성된 가스를 조합함으로써 발생된다.
상기 공정 중 어느 하나에 따른 구현예에서, 상기 에칭제 가스는 2개 이상의 화학 구성 가스를 혼합함으로써 발생된다.
상기 공정 중 어느 하나에 따른 구현예에서, 상기 에칭제 가스는 상기 챔버로의 주입 전에 가스 활성화 챔버에서 활성화 메커니즘에 이를 노출시킴으로써 활성화되고; 상기 가스 활성화 메커니즘은 열, 자외선 광 및 플라즈마 방전으로 이루어진 군으로부터 선택된다.
상기 공정 중 어느 하나에 따른 구현예에서, 상기 에칭제 가스는 상기 챔버로의 주입 후에 열 활성화 메커니즘에 이를 노출시킴으로써 활성화되고; 상기 열 활성화 메커니즘은 상기 챔버 내의 전체 온도 및 상기 챔버 내의 국소적 열 공급원으로 이루어진 군으로부터 선택된다.
상기 공정 중 어느 하나에 따른 구현예에서, 상기 에칭제 가스는 COCl2, COBr2, COI2, SOI2, SOCl2, SOBr2, SO2Cl2, SO2Br2, NOCl, NOBr, NOI, SOClBr, SOClF, 및 SOFBr로 이루어진 군으로부터 선택된다. 특정 구현예에서, 티오닐 클로라이드 (SOCl2)가 사용된다.
상기 공정 중 어느 하나에 따른 구현예에서, 상기 첨가제는 CO 또는 CO2이다.
상기 공정 중 어느 하나에 따른 구현예에서, 할로겐 함유 첨가제는 상기 에칭제 가스를 갖는 가스 혼합물에 첨가된다.
상기 공정 중 어느 하나에 따른 구현예에서, 할로겐 함유 첨가제는 활성 할로겐 또는 일반 화학식 R5X1의 화합물이고, 식 중, R5는 H 및 Me로 이루어진 군으로부터 선택되고; X1은 F, Cl, Br, 및 I로 이루어진 군으로부터 선택되는 할로겐이다.
상기 공정 중 어느 하나에 따른 구현예에서, 활성 할로겐은 Cl 또는 Br을 포함한다.
상기 공정 중 어느 하나에 따른 구현예에서, 희석 첨가제는 상기 에칭제 가스를 갖는 가스 혼합물에 첨가된다.
상기 공정 중 어느 하나에 따른 구현예에서, 희석 첨가제는 N, Ar, He, 또는 Ne를 포함한다.
상기 공정 중 어느 하나에 따른 구현예에서, 상기 반응기 챔버는 적어도 100℃, 100℃ 내지 900℃, 또는 100℃ 내지 400℃의 온도로 가열된다.
상기 공정 중 어느 하나에 따른 구현예에서, 상기 반응기 챔버는 0.1 mBar 내지 1500 mBar, 바람직하게는 0.1 mBar 내지 1000 mBar의 압력이 제공된다.
본 발명의 상기 및 다른 특정 및 본 발명의 장점은 첨부된 도면에 예시된 바와 같이 바람직한 구현예의 하기 상세한 설명의 관점에서 자명할 것이다. 인식되는 바와 같이, 본 발명은 모두 본 발명을 벗어남 없이 다양한 양태로 변형될 수 있다. 따라서, 도면 및 설명은 제한적인 것이 아닌 본질적으로 예시적인 것으로 간주되어야 한다.
본 발명의 구현예는 첨부된 도면을 참조하여 예시적으로 이하에서 기재될 것이고, 이 중:
도 1은 산화주석 필름을 형성하는 데 사용될 수 있는 다수의 화합물에 대한 증기압의 비교 그래프이고;
도 2는 Me2Sn(NMe2)2의 70℃의 저장 온도에서 질량% 대 일(day)의 그래프이고;
도 3은 실시예 21에 따른 시험 이후에 생성된 쿠폰의 사진이고;
도 4는 실시예 22에 따른 실시의 W로의 전력에 대한 A/min로의 에칭률의 그래프이고;
도 5는 실시예 22에 따른 온도가 26℃인 유일한 실시의 W로의 전력에 대한 A/min로의 에칭률의 그래프이고;
도 6은 Sn(NMeEt)41H NMR 스펙트럼을 나타내고;
도 7은 Sn(OtBu)41H NMR 스펙트럼을 나타내고;
도 8은 MeOH의 첨가 이전 및 이후의 Sn(NMeEt)41H NMR 스펙트럼을 나타내고;
도 9는 HNMeEt와 비교되는 Sn(NMeEt)4의 60℃의 저장 온도에서 질량% 대 주(week)의 그래프이고;
도 10은 0, 4, 및 9 주에서 60℃에서의 Sn(NMeEt)4 샘플의 1H NMR 스펙트럼을 나타내고;
도 11은 HNMeEt와 비교되는 Sn(NMeEt)4의 100℃의 저장 온도에서의 질량% 대 주의 그래프이고;
도 12는 0, 4, 및 9 주에서 100℃에서의 Sn(NMeEt)4 샘플의 1H NMR 스펙트럼을 나타내고;
도 13은 0, 1, 및 2 주에서 125℃에서의 Sn(NMeEt)4 샘플의 1H NMR 스펙트럼을 나타내고;
도 14는 다단계 진공 증류 장치의 개략도를 나타낸다.
하기 상세한 설명은 유사한 부호가 유사한 구성요소를 지정하는 첨부된 도면과 연계하여 해석될 수 있다.
하기 화학식 I의 유기금속 화합물이 개시된다:
Qx-Sn-(A1R1' z)4-x 화학식 I
식 중:
Q는 OR1 또는 Cp이고;
각각의 R1 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고;
각각의 R1' 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 또는 아릴 기로 이루어진 군으로부터 선택되고;
x는 1 내지 4의 정수이고;
x가 0인 경우 A1은 0이고, x가 1 내지 3의 정수인 경우 A1은 N이고; 그리고
A1이 0인 경우 z는 1이고, A1이 N인 경우 z는 2이다.
구현예에서, Q는 Cp이고, 이는 시클로펜타디에닐 리간드이다. 일부 구현예에서, x는 1이고, 화학식 I의 화합물은 하기 화학식: Cp-Sn-(NR1' 2)3로 표시되고, 식 중, 각각의 R1' 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 또는 아릴 기로 이루어진 군으로부터 선택된다.
화학식 I의 화합물은 x가 1 내지 3의 정수인 것을 포함한다. 일부 구현예에서, 화학식 I의 화합물은 하기 화학식: (OR1)x-Sn-(NR1' 2)4-x로 표시되고, 식 중, 각각의 R1' 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 또는 아릴 기로 이루어진 군으로부터 선택되고, x는 1 내지 3의 정수이다.
하기 화학식: (OR1)x-Sn-(NR1' 2)4-x로 표시되는 화학식 I의 화합물은 각각의 R1 기 및 각각의 R1' 기가 1 내지 10개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기인 것을 포함한다. 각각의 R1 기 및 각각의 R1' 기는 1 내지 6개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기일 수 있는 것으로 고려된다. 특히, 각각의 R1 기 및 각각의 R1' 기는 1 내지 4개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기이다. 보다 특별하게는, 각각의 R1 기 및 각각의 R1' 기는 독립적으로 메틸, 에틸, 프로필, 이소-프로필, tert-부틸, 이소-부틸 및 n-부틸로 이루어진 군으로부터 선택된다. 또한, 일부 화합물의 경우, 각각의 R1 기 및 각각의 R1' 기는 상이한 알킬, 아실, 또는 아릴 기, 특히 상이한 알킬 기를 나타낼 수 있다.
화학식 I의 화합물은 x가 4인 것을 포함한다. 이러한 구현예에서, 화학식 I의 화합물은 하기 화학식: Sn(OR1)4로 표시되고, 식 중, 각각의 R1 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬, 아실, 또는 아릴 기로 이루어진 군으로부터 선택된다.
하기 화학식: Sn(OR1)4로 표시되는 화학식 I의 화합물은 각각의 R1 기가 1 내지 10개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기인 것을 포함한다. 각각의 R1 기는 1 내지 6개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기일 수 있는 것으로 고려된다. 특히, 각각의 R1 기는 1 내지 4개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기이다. 보다 특별하게는, 각각의 R1 기는 독립적으로 메틸, 에틸, 프로필, 이소-프로필, tert-부틸, 이소-부틸 및 n-부틸로 이루어진 군으로부터 선택된다. 또한, 일부 화합물의 경우, 각각의 R1 기는 상이한 알킬, 아실, 또는 아릴 기, 특히 상이한 알킬 기를 나타낸다.
화학식 I의 특정 유기금속 화합물은 하기의 것을 포함한다: (MeO)2Sn(NMe2)2, (MeO)2Sn(NEtMe)2, (MeO)3Sn(NMe2), (MeO)3Sn(NEtMe), Sn(OMe)4 CpSn(NMe2)3, 또는 CpSn(NMeEt)3.
다른 유기금속 화합물은 하기 화학식 II의 것을 포함한다:
Sn(NR2(CH2)nA2)2 화학식 II
식 중:
각각의 A2는 독립적으로 NR2' 또는 O로부터 선택되고;
각각의 R2 기는 독립적으로 수소 및 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고;
각각의 R2' 기는 독립적으로 수소 및 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 또는 아릴 기로 이루어진 군으로부터 선택되고;
n은 2 또는 3이고;
선택적으로 NR2(CH2)nNR2'는 환형 구조를 형성하고;
선택적으로 (CH2) 중의 적어도 하나는 1 내지 10개의 탄소 원자를 갖는 알킬 기로의 하나 이상의 치환을 갖는다.
또한 이러한 화합물을 사용하는 산화주석의 증착을 개시한다. 본원에 개시된 방법에서의 화학식 II의 화합물의 사용은 산화주석의 화학 기상 증착 (CVD) 및 원자층 증착 (ALD)을 가능하게 한다.
구현예에서, A2는 O이고 화학식 II는 하기 화학식 IIa: Sn(NR2(CH2)nO)2로 표시되고, 식 중, 각각의 R2 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로부터 선택되거나, 또는 1 내지 10개의 탄소 원자, 1 내지 6개의 탄소 원자, 또는 1 내지 4개의 탄소 원자를 갖는 알킬 기로부터 선택된다. 구현예에서, 각각의 R2 기는 독립적으로 메틸, 에틸, 프로필, 이소-프로필, tert-부틸, 이소-부틸 및 n-부틸로 이루어진 군으로부터 선택된다.
구현예에서, 각각의 R2 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 기로부터 선택된다. 각각의 R2 기는 1 내지 6개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기일 수 있는 것으로 고려된다. 구현예에서, 각각의 R2 기는 1 내지 4개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기이다. 구현예에서, 각각의 R2 기는 독립적으로 메틸, 에틸, 프로필, 이소-프로필, tert-부틸, 이소-부틸 및 n-부틸로 이루어진 군으로부터 선택된다. 구현예에서, 각각의 R2 기는 상이한 알킬, 아실, 또는 아릴 기, 특히 상이한 알킬 기를 나타낸다.
구현예에서, 각각의 R2' 기는 독립적으로 수소 또는 1 내지 10개의 탄소 원자를 갖는 알킬 기로부터 선택된다. 각각의 R2' 기는 독립적으로 수소 또는 1 내지 6개의 탄소 원자를 갖는 알킬 기로부터 선택될 수 있는 것으로 고려된다. 구현예에서, 각각의 R2' 기는 독립적으로 수소 또는 1 내지 4개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기로부터 선택된다. 구현예에서, 각각의 R2' 기는 독립적으로 메틸, 에틸, 프로필, 이소-프로필, tert-부틸, 이소-부틸 및 n-부틸로 이루어진 군으로부터 선택된다. 구현예에서, 각각의 R2' 기는 수소 또는 상이한 알킬, 아실, 또는 아릴 기, 특히 상이한 알킬 기를 나타낸다.
구현예에서, n은 2이다. 다른 구현예에서, n은 3이다.
구현예에서, (CH2) 중의 적어도 하나는 1 내지 10개의 탄소 원자, 또는 1 내지 6개의 탄소 원자, 또는 1 내지 4개의 탄소 원자를 갖는 알킬 기로의 하나 이상의 치환을 갖는다. 대안적으로, 하나 이상의 치환은 메틸 또는 에틸로의 것이다. 특정 구현예에서, (CH2) 중의 유일한 하나는 알킬 기 예컨대 메틸 또는 에틸로의 하나 이상의 치환을 갖는다. 보다 특별한 구현예에서, (CH2) 중의 하나는 2개의 메틸 기로 치환된다.
구현예에서, 화학식 II는,
화학식 IIb:
Figure pct00003
로 표시되고, 식 중:
각각의 R2 기는 독립적으로 수소 및 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고;
각각의 R2' 기는 독립적으로 수소 및 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 또는 아릴 기로 이루어진 군으로부터 선택되고;
각각의 R2'' 기 및 각각의 R2''' 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기이다.
구현예에서, 화학식 IIb의 각각의 R2, R2', R2'', 또는 R2''' 기는 독립적으로 수소 또는 1 내지 10개의 탄소 원자, 1 내지 6개의 탄소 원자, 또는 1 내지 4개의 탄소 원자를 갖는 알킬 기로부터 선택된다. 화학식 IIb의 특정 구현예에서, 각각의 R2 및 R2'는 수소이고, 각각의 R2'' 및 R2''' 기는 1 내지 10개의 탄소 원자, 1 내지 6개의 탄소 원자, 또는 1 내지 4개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기이다. 화학식 IIb의 보다 특정 구현예에서, 각각의 R2 및 R2'는 수소이고, 각각의 R2'' 및 R2''' 기는 독립적으로 에틸 또는 메틸로부터 선택된다.
A2가 N인 일부 구현예에서, N, NR(CH2)nNR'는 환형 구조를 형성한다. 환형 구조의 특정 구현예에서, R 및 R' 기는 메틸 또는 에틸로부터 선택된 알킬 기이다. 구현예에서, 화학식 II는 하기 화학식 IIc로 표시된다:
화학식 IIc:
Figure pct00004
구현예에서, 각각의 (NR2(CH2)nA2)는 N,N'-디메틸에틸렌디아민 (NMe(CH2)2NMe), 피페라진 (N2C4H8), N,N'-디에틸에틸렌디아민 (NEt(CH2)2NEt), N,N'-디이소프로필에틸렌디아민 (NiPr(CH2)2NiPr), N,N'-디-tert-부틸에틸렌디아민 (NtBu(CH2)2NtBu), N,N'-디메틸-1,3-프로판디아민 (NMe(CH2)3NMe), 2,2-디메틸-1,3-프로판디아민 (NH(CH2)(C(CH3))(CH2)NH), 2-(메틸아미노)에탄올 (NMe(CH2)2O), 및 2-(에틸아미노)에탄올 (NEt(CH2)2O)로 이루어진 군으로부터 선택된다.
화학식 I 및 II의 화합물은 양호한 반응성을 나타내면서 열적으로 안정하고, 이에 의해 증착 챔버로의 화합물의 전달은 분해가 일어나지 않고 실시되어야 한다. (분해는 균일하지 않은 증착된 필름을 초래하거나 증착된 필름에 결함을 생성한다). 본 발명의 화합물에 의해 입증된 바와 같이 양호한 안정성 및 반응성 프로파일은 또한 성장 챔버로 전달되는 더 적은 재료를 요구할 것으로 예상되고 (재료가 적을수록 더 경제적이고), 더 빠른 사이클링 시간을 가질 것으로 예상된다(적은 공정이 끝날 때 챔버에 남아 있는 재료), (펌핑되어 제거되는 공정의 종료시 챔버에 남아 있는 재료가 적을 것이기 때문에) 사이클링이 더 빠를 것으로 예상되고, 이는 더 두꺼운 필름이 더 짧은 시간에 증착될 수 있고 이로써 처리량이 증가하는 것을 의미한다. 또한, ALD는 화학식 I 또는 II의 화합물을 사용하여 훨씬 더 낮은 온도에서 (또는 더 넓은 온도 윈도우를 사용하여) 수행될 수 있을 것으로 예상된다. 열 안정성은 또한 합성 후 물질이 훨씬 더 쉽게 정제될 수 있고 취급이 더 용이해진다는 것을 의미한다.
화학식 I 및 II의 화합물은 C-Sn 결합이 없는 종래의 화합물에 대한 추가의 장점을 갖는다. C-Sn 결합은 화학식 I 및 II의 화합물로부터 형성된 잔류물보다 더 독성인 것으로 알려진 Me-Sn-O 고체 잔류물의 형성을 야기한다. 또한, 화학식 I 및 II의 화합물은 종래의 화합물보다 더 낮은 분자량을 갖지만, 여전히 종래의 화합물보다 더 높은 열 안정성을 가질 것을 예상된다.
화학식 I 또는 II의 화합물은 본 기술분야에 알려진 공정에 의해 제조될 수 있다. 하기 실시예는 이러한 공정을 예시하지만, 제한하는 것으로 의도되지 않는다.
실시예 1: Sn(NMeEt) 4 의 합성
불활성 분위기 하에, 1-L 둥근 바닥 플라스크에 헥산 중의 67 mL의 2.5M nBuLi 용액 및 약 500 mL의 무수 헥산을 장입하였다. 플라스크의 내용물을 빙조/수조에서 냉각시켰고, 16mL의 HNMeEt는 이후 강하게 교반하면서 반응 플라스크에 적가하였다. 반응 혼합물을 1시간 동안 실온에서 교반하였고, 이후 다시 빙조/수조에서 냉각시켰다. 4.90 mL의 SnCl4를 주사기를 통해 반응 플라스크에 적가하였다. 반응 플라스크를 알루미늄 포일로 피복하였고, 그 내용물을 밤새 실온에서 교반되도록 두었다. 다음날, LiCl 염을 여과를 통해 반응 혼합물로부터 분리하였다. 8.42g (57% 수율)의 Sn(NMeEt)4를 0.05 Torr에서 70℃에서 증류를 통해 단리하였다. 도 6에 나타난 바와 같이, 생성물은 1H NMR 분광법에 의해 Sn(NMeEt)4인 것으로 확인되었다.
실시예 2: Sn(OtBu) 4 의 합성
불활성 분위기 하에, 1-L 둥근 바닥 플라스크에 10g의 SnCl4 및 약 400 mL의 무수 헥산을 충전하였다. 플라스크의 내용물을 빙조/수조에서 냉각시켰고, 이후 반응 플라스크에 100 mL의 무수 헥산 중의 16.6 mL의 HNEt2를 서서히 첨가하였다. 반응 혼합물을 이후 2시간 동안 실온에서 교반하였고, 이후 다시 빙조/수조에서 냉각시켰다. 약 50mL의 무수 헥산 중의 15.4 mL의 tBuOH의 용액을 이후 플라스크에 첨가하였고, 반응 혼합물을 밤새 실온에서 교반되도록 두었다. 다음날, H2NEt2 +Cl- 염을 여과를 통해 반응 혼합물로부터 분리하였다. 용매를 감압 증류를 통해 여과액으로부터 제거하였다. 도 7에서 보여지는 바와 같이, 잔류된 황색 잔류물 (8g, 38% 수율)은 1H NMR 분광법에 의해 Sn(OtBu)4인 것으로 확인되었다.
실시예 3: Sn(OiPr) 4 의 합성
불활성 분위기 하에, 1-L 반응기에 49 g의 iPrOH 및 약 400 mL의 무수 벤젠을 충전하였다. 반응기의 내용물을 0℃로 냉각시켰고, 50 g의 SnCl4를 주사기를 통해 반응기에 서서히 첨가하였다. HNMe2 가스를 이후 강하게 교반하면서 반응 혼합물에 통과시켰다. 반응 혼합물을 HNMe2의 첨가 동안 1시간 동안 환류시켰다. 반응 혼합물을 밤새 실온에서 교반되도록 두었다. 다음날, H2NMe2 +Cl- 염을 여과를 통해 반응 혼합물로부터 분리하였다. 용매를 감압 증류를 통해 여과액으로부터 제거하여 최종 생성물이 남겨졌다.
실시예 4: Sn(OEt) 4 의 합성
불활성 분위기 하에, 1-L 둥근 바닥 플라스크에 10g의 SnCl4 및 약 400 mL의 무수 헥산을 충전할 수 있다. 플라스크의 내용물을 빙조/수조에서 냉각할 수 있고, 이후 반응 플라스크에 100 mL의 무수 헥산 중의 16.6 mL의 HNEt2를 서서히 첨가한다. 반응 혼합물을 이후 2시간 동안 실온에서 교반할 수 있고, 이후 다시 빙조/수조에서 냉각된다. 약 50mL의 무수 헥산 중의 15.4 mL의 EtOH의 용액을 이후 플라스크에 첨가할 수 있고, 반응 혼합물을 밤새 실온에서 교반되도록 둘 수 있다. 다음날, H2NEt2 +Cl- 염을 여과를 통해 반응 혼합물로부터 분리할 수 있다. 용매를 감압 증류를 통해 여과액으로부터 제거할 수 있다.
실시예 5: Sn(OMe) 4 의 합성
불활성 분위기 하에, 1-L 둥근 바닥 플라스크에 10g의 SnCl4 및 약 400 mL의 무수 헥산을 충전할 수 있다. 플라스크의 내용물을 빙조/수조에서 냉각할 수 있고, 이후 반응 플라스크에 100 mL의 무수 헥산 중의 16.6 mL의 HNEt2를 서서히 첨가한다. 반응 혼합물을 이후 2시간 동안 교반할 수 있고, 이후 다시 빙조/수조에서 냉각된다. 약 50mL의 무수 헥산 중의 15.4 mL의 MeOH의 용액을 이후 플라스크에 첨가할 수 있고, 반응 혼합물을 밤새 실온에서 교반되도록 둘 수 있다. 다음날, H2NEt2 +Cl- 염을 여과를 통해 반응 혼합물로부터 분리할 수 있다. 용매를 감압 증류를 통해 여과액으로부터 제거할 수 있다.
실시예 6: (MeO) 3 Sn(NMeEt)의 합성
불활성 분위기 하에, 1-L 반응기에 헥산 중의 25mL의 2.5M nBuLi 용액 및 약 500 mL 무수 헥산을 장입하였다. 반응기의 내용물을 0℃로 냉각시켰고, 약 100mL 무수 헥산 중의 3.8g의 HNMeEt를 강하게 교반하면서 용액에 첨가하였다. 이후, 반응 혼합물을 실온에서 1시간 동안 교반하였고, 이후 다시 0℃로 냉각시켰다. 약 200 mL의 무수 벤젠 중의 15.3g의 Sn(OMe)4를 반응 혼합물에 적하였다. 반응 플라스크의 내용물을 실온으로 가온시켰고, 밤새 교반되도록 두었다. 다음날, LiOMe 염을 여과를 통해 반응 혼합물로부터 분리하였다. 용매를 감압 증류를 통해 여과액으로부터 제거하였다. 생성물을 감압 하에 증류를 통해 정제하였다.
실시예 7: (EtO) 2 Sn(NMe 2 ) 2 의 합성
불활성 분위기 하에, 1-L 반응기에 헥산 중의 50mL의 2.5M nBuLi 용액 및 약 500 mL의 무수 헥산을 장입하였다. 반응기의 내용물을 0℃로 냉각시켰고, HNMe2 가스를 이후 강하게 교반하면서 30분 동안 용액에 통과시켰다. 이후, 반응 혼합물을 실온에서 1시간 동안 교반하였고, 이후 다시 0℃로 냉각시켰다. 약 200 mL의 무수 벤젠 중의 18.8g의 Sn(OEt)4를 반응 혼합물에 적가하였다. 반응 플라스크의 내용물을 실온으로 가온시켰고, 밤새 교반되도록 두었다. 다음날, LiOEt 염을 여과를 통해 반응 혼합물로부터 분리하였다. 용매를 감압 증류를 통해 여과액으로부터 제거하였다. 생성물을 감압 하에 증류를 통해 정제하였다.
실시예 8: (EtO) 3 Sn(NMe 2 )의 합성
불활성 분위기 하에, 1-L 반응기에 헥산 중의 25mL의 2.5M nBuLi 용액 및 약 500 mL의 무수 헥산을 장입하였다. 반응기의 내용물을 0℃로 냉각시켰고, HNMe2 가스를 이후 강하게 교반하면서 30분 동안 용액에 통과시켰다. 이후, 반응 혼합물을 실온에서 1시간 동안 교반하였고, 이후 다시 0℃로 냉각시켰다. 약 200 mL의 무수 벤젠 중의 18.8g의 Sn(OEt)4를 반응 혼합물에 적가하였다. 반응 플라스크의 내용물을 실온으로 가온시켰고, 밤새 교반되도록 두었다. 다음날, LiOEt 염을 여과를 통해 반응 혼합물로부터 분리하였다. 용매를 감압 증류를 통해 여과액으로부터 제거하였다. 생성물을 감압 하에 증류를 통해 정제하였다.
실시예 9: (EtO) 2 Sn(NMeEt) 2 의 합성
불활성 분위기 하에, 1-L 반응기에 헥산 중의 50mL의 2.5M nBuLi 용액 및 약 500 mL의 무수 헥산을 장입하였다. 반응기의 내용물을 0℃로 냉각시켰고, 약 100mL 무수 헥산 중의 7.5g의 HNMeEt를 강하게 교반하면서 용액에 첨가하였다. 이후, 반응 혼합물을 실온에서 1시간 동안 교반하였고, 이후 다시 0℃로 냉각시켰다. 약 200 mL의 무수 벤젠 중의 18.8g의 Sn(OEt)4를 반응 혼합물에 적가하였다. 반응 플라스크의 내용물을 실온으로 가온시켰고, 밤새 교반되도록 두었다. 다음날, LiOEt 염을 여과를 통해 반응 혼합물로부터 분리하였다. 용매를 감압 증류를 통해 여과액으로부터 제거하였다. 생성물을 감압 하에 증류를 통해 정제하였다.
실시예 10: (EtO) 3 Sn(NMeEt)의 합성
불활성 분위기 하에, 1-L 반응기에 헥산 중의 25mL의 2.5M nBuLi 용액 및 약 500 mL의 무수 헥산을 장입하였다. 반응기의 내용물을 0℃로 냉각시켰고, 약 100mL 무수 헥산 중의 3.8g의 HNMeEt를 강하게 교반하면서 용액에 첨가하였다. 이후, 반응 혼합물을 실온에서 1시간 동안 교반하였고, 이후 다시 0℃로 냉각시켰다. 약 200 mL의 무수 벤젠 중의 18.8g의 Sn(OEt)4를 반응 혼합물에 적가하였다. 반응 플라스크의 내용물을 실온으로 가온시켰고, 밤새 교반되도록 두었다. 다음날, LiOEt 염을 여과를 통해 반응 혼합물로부터 분리하였다. 용매를 감압 증류를 통해 여과액으로부터 제거하였다. 생성물을 감압 하에 증류를 통해 정제하였다.
실시예 11: CpSn(NMe 2 ) 3 의 합성
디시클로펜타디엔 이량체를 분별 증류를 사용하여 분해하였고, 제조된 Cp-H를 동일자에 사용하였다. 글로브박스에서, 1L 둥근 바닥 플라스크에 50.0 g의 Sn(NMe2)4 및 약 400mL의 무수 헥산을 장입하였다. 이중 매니폴드에서, 11.0 g의 Cp-H를 반응 플라스크에 첨가하였고, 반응 혼합물을 교반하면서 3시간 동안 환류시켰다. 용매를 진공 중에서 제거하였고, 최종 물질을 감압 증류를 통해 단리하였다.
실시예 12: CpSn(NMeEt) 3 의 합성
디시클로펜타디엔 이량체를 분별 증류를 사용하여 분해하였고, 제조된 Cp-H를 동일자에 사용하였다. 글로브박스에서, 1L 둥근 바닥 플라스크에 50.0 g의 Sn(NMeEt)4 및 약 400mL의 무수 헥산을 장입하였다. 이중 매니폴드에서, 9.3 g의 Cp-H를 반응 플라스크에 첨가하였고, 반응 혼합물을 교반하면서 3시간 동안 환류시켰다. 용매를 진공 중에서 제거하였고, 최종 물질을 감압 증류를 통해 단리하였다.
실시예 13: Sn(NMeCH 2 CH 2 NMe) 2 의 합성
불활성 분위기 하에, 1-L 반응기에 헥산 중의 62 mL의 2.5M nBuLi 용액 및 약 500mL의 무수 헥산을 장입하였다. 반응기의 내용물을 0℃로 냉각시켰고, 7.11 g의 NHMeCH2CH2NHMe를 강하게 교반하면서 용액에 첨가하였다. 이후, 반응 혼합물을 실온에서 1시간 동안 교반하였고, 이후 다시 0℃로 냉각시켰다. 약 200mL의 무수 헥산 중의 10.0 g의 SnCl4를 반응 혼합물에 적가하였다. 반응 플라스크의 내용물을 실온으로 가온시켰고, 밤새 교반되도록 두었다. 다음날, LiCl 염을 여과를 통해 반응 혼합물로부터 분리하였다. 용매를 감압 증류를 통해 여과액으로부터 제거하였다. 생성물을 감압 하에 증류를 통해 정제하였다.
실시예 14: Sn(N 2 C 4 H 8 ) 2 의 합성
불활성 분위기 하에, 1-L 반응기에 헥산 중의 62 mL의 2.5M nBuLi 용액 및 약 500mL의 무수 헥산을 장입하였다. 반응기의 내용물을 0℃로 냉각시켰고, 6.94 g의 피페라진을 강하게 교반하면서 용액에 첨가하였다. 이후, 반응 혼합물을 실온에서 1시간 동안 교반하였고, 이후 다시 0℃로 냉각시켰다. 약 200mL의 무수 헥산 중의 10.0 g의 SnCl4를 반응 혼합물에 적가하였다. 반응 플라스크의 내용물을 실온으로 가온시켰고, 밤새 교반되도록 두었다. 다음날, LiCl 염을 여과를 통해 반응 혼합물로부터 분리하였다. 용매를 감압 증류를 통해 여과액으로부터 제거하였다. 생성물을 감압 하에 증류를 통해 정제하였다.
실시예 15: Sn(MeNCH 2 CH 2 CH 2 NMe) 2 의 합성
불활성 분위기 하에, 1-L 반응기에 헥산 중의 62 mL의 2.5M nBuLi 용액 및 약 500mL의 무수 헥산을 장입하였다. 반응기의 내용물을 0℃로 냉각시켰고, 8.24 g의 NHMeCH2CH2CH2NHMe를 강하게 교반하면서 용액에 첨가하였다. 이후, 반응 혼합물을 실온에서 1시간 동안 교반하였고, 이후 다시 0℃로 냉각시켰다. 약 200mL의 무수 헥산 중의 10.0 g의 SnCl4를 반응 혼합물에 적가하였다. 반응 플라스크의 내용물을 실온으로 가온시켰고, 밤새 교반되도록 두었다. 다음날, LiCl 염을 여과를 통해 반응 혼합물로부터 분리하였다. 용매를 감압 증류를 통해 여과액으로부터 제거하였다. 생성물을 감압 하에 증류를 통해 정제하였다.
실시예 16: Sn(MeNCH 2 CH 2 O) 2 의 합성
불활성 분위기 하에, 1-L 반응기에 헥산 중의 62 mL의 2.5M nBuLi 용액 및 약 500mL의 무수 헥산을 장입하였다. 반응기의 내용물을 0℃로 냉각시켰고, 6.05 g의 NHMeCH2CH2OH를 강하게 교반하면서 용액에 첨가하였다. 이후, 반응 혼합물을 실온에서 1시간 동안 교반하였고, 이후 다시 0℃로 냉각시켰다. 약 200mL의 무수 헥산 중의 10.0 g의 SnCl4를 반응 혼합물에 적가하였다. 반응 플라스크의 내용물을 실온으로 가온시켰고, 밤새 교반되도록 두었다. 다음날, LiCl 염을 여과를 통해 반응 혼합물로부터 분리하였다. 용매를 감압 증류를 통해 여과액으로부터 제거하였다. 생성물을 감압 하에 증류를 통해 정제하였다.
실시예 17: 반응성 시험
물을 Sn(NMeEt)4에 첨가한다. 백색 SnO2 고체의 형성과 함께 순간적 발열 반응이 일어났다.
추가의 시험에서, 메탄올을 Sn(NMeEt)4에 첨가하였다. 이는 또한 순간적 발열 반응을 야기하였다. 도 8은 MeOH의 첨가 이전 및 이후 Sn(NMeEt)41H NMR 스펙트럼을 나타낸다. 도 8에 나타난 바와 같이, 최종 생성물은 HNMeEt의 방출 및 출발 물질의 완전한 소모를 나타낸다.
실시예 18: 열 안정성 시험
Sn(NMeEt)4의 열 안정성 시험을 스테인리스 강 앰플에서 실시하였고, 이는 수주 동안 60℃, 100℃, 및 125℃의 고정 온도에서 저장하였다. 임의의 열분해가 존재하였는지 여부를 알기 위해 NMR을 수행하였다. 보관 후 고체 형성을 찾기 위해 육안 검사를 또한 사용하였다.
Sn(NMeEt)4를 60℃에서 안정하게 유지되었다. 구체적으로, 도 9는 60℃의 저장 온도에서 Sn(NMeEt)4의 안정성을 나타내기 위해 HNMeEt의 질량%와 비교되는 Sn(NMeEt)4의 질량%를 나타낸다. 도 10은 0, 4, 및 9 주에서 60℃에서의 Sn(NMeEt)4 샘플의 1H NMR 스펙트럼을 나타낸다.
Sn(NMeEt)4는 100℃에서의 약간의 분해를 나타내었다. 구체적으로, 도 11은 100℃의 저장 온도에서 Sn(NMeEt)4의 안정성을 나타내기 위해 HNMeEt의 질량%와 비교되는 Sn(NMeEt)4의 질량%를 나타낸다. 도 12는 0, 4, 및 9 주에서 100℃에서의 Sn(NMeEt)4 샘플의 1H NMR 스펙트럼을 나타낸다.
Sn(NMeEt)4는 100℃에서 2주 후에 완전하게 분해되었다. 구체적으로, 도 13은 0, 1, 및 2 주에서 125℃에서의 Sn(NMeEt)4 샘플의 1H NMR 스펙트럼을 나타낸다.
또한, 더 높은 증기압은 CVD/ALD 챔버로의 증기 전달을 가능하도록 화학물질의 최소 가열을 가능하게 한다. 더 낮은 휘발성 물질을 더 높은 온도로 가열하여 챔버로의 물리적 전달을 위한 원하는 증기압에 도달된다. 온도가 증가함에 따라, 열분해의 기회가 증가된다. 임의의 전구체에 대한 목적은 충분하게 높은 증기압에서 그러나 물질의 열분해를 유도하지 않고 전달시키는 것이다. 도 1은 산화주석 필름을 형성하는 데 사용될 수 있는 다수의 화합물에 대한 상이한 증기압을 나타낸다.
또한, 저장 동안 안정한 단일 반응성 화합물을 유지하는 것이 어렵다는 것이 발견되었다. 구체적으로, 산화주석 필름을 증착하기 위해 사용되는 반응 화합물은 시간에 따라 불균등화되는 것으로 발견된다. 이러한 화합물에서의 이량체 형성을 중지시키기 위해, 첨가제가 발견되었다. 구체적으로, 하기 화학식 IV: Sn(NR4 2)4로 표시되는 화합물로부터 선택되는 제2 유기금속 화합물이 산화주석 필름을 증착시키기 위해 사용되는 주석 화합물에 대한 이량체 형성을 중지시키는 능력을 제공하는 것이 발견된다. 화학식 IV에 포함되는 특정 화합물에서, 각각의 R4 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택된다.
화학식 IV의 화합물은 각각의 R4 기가 1 내지 10개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기인 것을 포함한다. 각각의 R4 기는 1 내지 6개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기일 수 있는 것으로 고려된다. 특히, 각각의 R4 기는 1 내지 4개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기이다. 보다 특별하게는, 각각의 R4 기는 독립적으로 메틸, 에틸, 프로필, 이소-프로필, tert-부틸, 이소-부틸 및 n-부틸로 이루어진 군으로부터 선택된다. 또한, 일부 화합물의 경우, 각각의 R4 기는 상이한 알킬, 아실, 또는 아릴 기, 특히 상이한 알킬 기를 나타낼 수 있다.
화학식 IV의 특정 유기금속 화합물은 하기: :Sn(NMe2)4, Sn(NEt2)4, 및 Sn(NMeEt)4를 포함한다.
화학식 IV의 제2 유기금속 화합물의 첨가로부터 유리할 수 있는 유기금속 화합물은 하기 화학식 III: R3 2Sn(NR3' 2)2로 표시되는 화합물을 포함하고, 식 중:
각각의 R3 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고;
각각의 R3' 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택된다.
화학식 I의 화합물은 각각의 R3 기 및 각각의 R3' 기가 1 내지 10개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기인 것을 포함한다. 각각의 R3 기 및 각각의 R3' 기는 1 내지 6개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기일 수 있는 것으로 고려된다. 특히, 각각의 R3 기 및 각각의 R3' 기는 1 내지 4개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기이다. 보다 특별하게는, 각각의 R3 기 및 각각의 R3' 기는 독립적으로 메틸, 에틸, 프로필, 이소-프로필, tert-부틸, 이소-부틸 및 n-부틸로 이루어진 군으로부터 선택된다. 또한, 일부 화합물의 경우, 각각의 R3 기 및 각각의 R3' 기는 상이한 알킬, 아실, 또는 아릴 기, 특히 상이한 알킬 기를 나타낼 수 있다.
실시예 19: 불균등화 시험
Sn(NMe2)4를 사용하거나 사용하지 않는 Me2Sn(NEtMe)2의 불균등화 안정성 시험을 스테인리스 강 용기에서 실시하였고, 이는 수일 동안 70℃의 고정된 온도에서 저장되었다. NMR을 수행하여 임의의 불균화가 존재하였는지 여부를 알기 위해 저장 동안의 상이한 시점에서의 Me2Sn(NEtMe)2의 질량%를 결정하였다. 비교를 위해, Me2Sn(NEtMe)2를 하나의 용기에 단독으로 저장하고, 10mol% Sn(NMe2)4가 첨가된 Me2Sn(NEtMe)2를 다른 용기에 저장하였다. 도 2는 Me2Sn(NMe2)2 단독 대 Sn(NMe2)4과 혼합된 Me2Sn(NMe2)2에 대한 불균등화의 비율을 나타내는 그래프이다.
시험 결과는 도 6에 나타난 바와 같이 Sn(NMe2)4가 첨가될 때 대부분 중단되는 불균등화와 함께 저장 안정성의 상당한 개선을 나타낸다.
일부 경우에서, 증착된 산화주석 필름의 에칭이 바람직하다. 또한, 상기 언급된 바와 같이, 산화주석 필름을 형성하기 위해 사용되는 증착 챔버는 세척될 필요가 있고, 이는 반응기 챔버의 내부에 형성된 산화주석 필름의 제거를 수반한다. 기판 상에 형성된 산화주석 필름을 에칭하면서도 반응기 챔버의 내부 표면으로부터 원하지 않은 산화주석 필름을 제거하는 건조 에칭 공정을 사용하는 것이 바람직하다. 그러나, 상기 열거된 이유로, 산화주석을 건조 에칭하는 것은 어려운 것으로 증명되었다. 그러나, 본원에 개시된 건조 에칭 공정은 이러한 문제점들을 해결한다. 건조 에칭 공정의 예시적인 구현예는 하기에 제공된다.
사용시, 건조 에칭 공정은 100℃ 초과, 예를 들어 100℃ 내지 900℃의 증가된 온도로 전체 반응기 챔버를 가열하는 단계, 및 반응기 챔버에 결합된 가스 공급원으로부터 에칭제 가스를 제공하는 단계를 포함한다. 보다 구체적으로, 석영 CVD/ALD 노에서의 열적 에칭의 구현예의 경우, 증가된 온도는 400℃ 내지 900℃이고, 한편 알루미늄, 스테인리스 강, 또는 세라믹 ALD 챔버에서의 플라즈마 에칭의 구현예의 경우, 증가된 온도는 100℃ 내지 400℃이다. 임의의 생성된 반응 생성물과 함께 배출되고 가스 배출 라인을 통해 외부로 펌핑되기 전에 에칭제 가스는 반응기 챔버를 통해 유동한다. 반응기 챔버 내의 가스 압력은 통상적으로 0.1 mBar 내지 1000 mBar (대기압)에서 유지되지만, 건조 에칭 사이클 동안 1500 mBar 정도로 높을 수 있다.
구현예에서, 에칭제 가스는 활성화되어 자유 라디칼의 생성을 향상시키고, 이에 의해 에칭 공정을 향상시킨다. 이는 열 활성화, 자외선 (UV) 여기, 또는 플라즈마 방전에 의해 달성될 수 있다. 에칭제 가스는 활성화 챔버로 유입된다. 활성화 챔버 내에서, 일정 부피의 가스가 활성화 에너지 공급원, 예컨대 히터 (열 활성화), UV 램프 (UV 활성화) 또는 이온화 RF 장 (플라즈마 활성화)에 노출된다. 열 활성화는 MOVPE (유기금속 증기상 에피택시) 반응기 용기의 가열에 의해 실시될 수 있거나, 또는 에칭제 가스는 반응기 용기로의 주입 전에 가열 챔버에서 예열될 수 있다. UV 또는 플라즈마 방전 활성화의 경우, 에칭제 가스는 반응기 용기로의 주입 전에 UV 광 또는 무선 주파수 플라즈마 방전에의 노출에 의해 활성화 챔버에서 활성화된다. 활성화된 가스는 유출구를 통해 활성화 챔버로부터 배출되어 MOCVD (유기금속 화학 기상 증착) 반응기 챔버 내로 유입된다.
에칭제 가스는 일반 화학식 A3OX2의 것이고, 식 중 A3는 C, N, 또는 S이고; O는 산소이고; 각각의 X는 독립적으로 할로겐으로부터 선택된다. 예를 들어, 에칭제 가스는 할로겐 (즉, 염소, 브롬, 또는 요오드 (Cl, Br, 또는 I))와 조합되는 카르보닐, 티오닐, 또는 니트로실 기(CO, SO, 또는 NO)를 포함할 수 있다. COCl2, COBr2, COI2, SOI2, SOCl2, SOBr2, SO2Cl2, SO2Br2, NOCl, NOBr, NOI, SOClBr, SOClF, 및 SOFBr은 적합한 에칭제 가스의 예이다. 에칭제 가스는 순물질로부터 유도될 수 있거나, 또는 대안적으로 Cl2, Br2, 또는 I2와 혼합되는 CO, SO, SO2, 또는 NO와 같은 별도의 성분의 조합에 의해 생성될 수 있다. 에칭제 가스는 캐리어 가스 예컨대 아르곤, 질소, 또는 수소와 혼합될 수 있다. 에칭제 가스 또는 그것의 성분은 기체 상태로 이용가능한 에칭제 성분의 경우에 하나 이상의 가스 실린더로부터 직접적으로 공급될 수 있다. 일반적으로 액체 상태인 에칭제 기체 성분의 경우, 요구되는 기체 상태는 액체 에칭제 성분이 있는 용기를 통해 캐리어 가스를 버블링시키고, 액체 성분을 에칭제로 휘발시켜 이에 의해 캐리어 가스 및 에칭제 증기의 혼합물을 생성함으로써 달성될 수 있다. 대안적으로, 액체 화학 성분은 기화될 때까지 가열될 수 있고, 이 시점에서 증기는 필요한 경우 캐리어 가스와 조합되어 반응기 챔버로 주입된다. 에칭제 가스는 에칭을 향상시키기 위해 추가의 양의 할로겐을 함유할 수 있다. 에칭제 가스는 에칭을 향상시키기 위해 추가의 양의 메틸 할라이드, 수소 할라이드 또는 다른 할로겐 화합물을 함유할 수 있다.
반응 챔버 내에서, 에칭제 가스는 금속 함유 증착물과 반응되어 에칭제 가스의 퍼징으로 제거되는 휘발성 금속 할라이드를 형성한다. 통상적인 반응은 카르보닐/티오닐/니트로실 기와 조합되는 잔여 산소를 갖는 금속 할라이드를 형성하는 금속 산화물과 퍼지 가스의 반응과 관련된다. 예를 들어:
Ga2O3+3SOBr2→2GaBr3+3SO2
2Ga2O3+6SOBrClGaClBr2+GaBrCl2+GaCl3+GaBr3+6SO2
Ga2O3+3NOBrGaBr3+3NO2
SnO2+2SOCl2→SnCl4+2SO2
다른 금속 함유 증착물은 또한 반응하여 금속 할라이드를 형성하고; 산화물은 산소에 대한 금속의 강한 친화성으로 인해 제거하기 위해 가장 어려운 증착물의 예로서 주어진다.
금속 할라이드가 형성되는 경우, 이는 반응 챔버로부터 제거되어야 한다. 이를 실시하기 위한 하나의 방법은 챔버에서의 압력을 감소시켜 반응기 챔버로부터의 제거를 위해 할라이드를 이동시키는 것이다. 단독으로 사용될 수 있거나 감소된 챔버 압력과 함께 조합될 수 있는 다른 선택사항은 할라이드를 증발시키거나 승화시키기에 충분한 온도로 챔버를 가열하는 것이다.
COCl2는 산화주석 및 기타 제거하기 어려운 금속 산화물을 제거하기 위한 에칭제 가스로서 특별하게 사용될 수 있는 것으로 발견되었다. 그러나, 포스겐 가스 (COCl2)는 매우 위험한 신경 작용제이다. 따라서, SOCl2 또는 NOCl와 CO 또는 CO2의 가스 혼합물을 첨가하는 것은 COCl2와 관련된 화학적 위험이 없는 COCl2와 유사한 에칭 기능을 달성할 수 있는 것으로 결정되었다.
추가의 구현예에서, 에칭제 가스는 에칭률, 에칭 선택도, 또는 부산물의 수명을 향상시키기 위한 첨가제를 포함한다. 이러한 첨가제는 탄소 또는 수소 함유 가스, 예컨대 일반 화학식 CxHyOz의 가스를 포함할 수 있고, 식 중, x, y, z는 0 내지 10의 수이다.
추가의 구현예에서, 에칭제 가스는 또한 에칭률, 에칭 선택도, 또는 부산물의 수명을 향상시키기 위해 희석 첨가제 또는 캐리어를 함유할 수 있다. 이러한 첨가제는 상대적으로 불활성인 가스 예컨대 N2, Ar, He, Ne를 포함할 수 있다.
본원에 개시된 공정은 낮은 온도에서 석영, 산화물, 세라믹, 알루미늄 및 다른 물질에 대해 선택적인 SnO2 및 다른 금속 산화물을 에칭하는 것을 가능하게 한다.
또한, 개시된 에칭제 가스를 사용하는 본원에 개시된 공정은 수소 함유 분자에 의해 야기된 부산물보다 더 휘발성인 반응 부산물을 형성하고, 이에 따라 반응 생성물은 챔버 벽에 이들의 재증착되기 전에 챔버로부터 보다 용이하게 배출될 수 있다.
본원에 개시된 공정은 또한 하기 실시예에 나타난 바와 같이 에칭제로서 Cl2를 이용하는 공정과 비교하여 덜 공격적이고 알루미늄 표면을 공격하지 않는다:
실시예 20
알루미늄, 양극산화된 알루미늄, 및 Viton 쿠폰을 60℃에서 1.5g SOCl2에 침지시켰고, 2주 이후에 변화의 징후를 나타내지 않았다. 일부 갈색 고체는 알루미늄 쿠폰에 느슨하게 부착되었으나, 알루미늄 표면 자체는 영향을 받지 않았고, 추가의 고체는 처음 몇일 이후에 관찰되지 않았다. 별도로, 상기와 같은 3개의 동일한 쿠폰은 3 그램의 액체 염소가 있는 스테인리스 강 앰플에서 밀봉되었고, 2일 동안 60℃에서 유지시켰다. 양극산화된 알루미늄에 대해 약간의 변화가 관측되었다. 그러나, 알루미늄이 거의 남아 있지 않았다. 이는 실질적으로 황색-베이지색 고체로 전환되었다. Viton은 인장 강도가 크게 감소되었으며 그것이 황색-베이지색 고체로부터 잡아당겼을 때 2개의 조각으로 쉽게 분리되었다. 상기 기재된 결과의 사진은 도 3에 제공되어 있다.
실시예 21: 26℃ 및 75℃에서의 SnO 2 에칭률에 대한 전력 효과
Si 상의 SnO2의 노출된 층을 갖는 기판의 에칭을 수행하였다. 기판은 60℃ 및 330Å에서 제공된다. 26℃에서의 각각의 실시는 60초의 에칭 시간을 가졌고, 한편 75℃에서의 각각의 실시는 30초의 에칭 시간을 가졌다. 각각의 실시는 2sccm의 유량 (증기 유입), 및 350 mTorr (0.467 mBar)로 설정된 압력을 포함하였다. 실시의 W의 전력에 대한 Å/min로의 에칭률의 그래프는 도 4에 나타나 있다. 또한, 도 5는 온도가 26℃인 실시 단독의 W의 전력에 대한 Å/min로의 에칭률의 그래프이다.
결과에 의해 나타난 바와 같이, 전력은 SnO2의 에칭률의 기하급수적 증가를 야기한다. 에칭률에 대한 전력 효과는 주위 온도에서 SnO2와 SiO2 사이에서 유사하다. 그러나, SiO2의 에칭은 75℃에서 100W에서 보이지 않았다. 또한, 저압 350 mTorr (0.467 mBar)에서의 26℃에서의 SnO2 에칭에 대한 선택도는 약 13:1이고, 한편 이는 1 Torr (1.333 mBar)에서 3:1이다.
실시예 22: 300W 및 26℃에서의 SnO 2 필름의 에칭률
Si 상의 SnO2의 노출된 층을 갖는 기판의 에칭을 수행하였다. 기판은 50℃ 및 330Å에서 제공된다. 각각의 실시는 26℃의 온도, 2sccm의 유량 (증기 유입), 350 mTorr (0.467 mBar)로 설정된 압력, 및 300 W의 전력을 포함하였다. 실시 시간만이 실시들 간에 상이하였고, 상이한 생성된 에칭률은 하기 표 1에 제공되어 있다.
[표 1]
Figure pct00005
실시예 23: 26℃에서의 SnO 2 에칭률에 대한 전력 효과
Si 상의 SnO2의 노출된 층을 갖는 기판의 에칭을 수행하였다. 기판은 50℃ 및 330Å에서 제공된다. 각각의 실시는 26℃의 온도, 2sccm의 유량 (증기 유입), 350 mTorr (0.467 mBar)로 설정된 압력, 및 60초의 에칭 시간을 포함하였다. 전력만이 실시들 간에 상이하였고, 상이한 생성된 에칭률은 하기 표 2에 제공되어 있다.
[표 2]
Figure pct00006
실시예 24: 75℃에서의 SnO 2 에칭률에 대한 전력 효과
Si 상의 SnO2의 노출된 층을 갖는 기판의 에칭을 수행하였다. 기판은 50℃ 및 330Å에서 제공된다. 각각의 실시는 75℃의 온도, 2sccm의 유량 (증기 유입), 350 mTorr (0.467 mBar)로 설정된 압력, 및 30초의 에칭 시간을 포함하였다. 전력만이 실시들 간에 상이하였고, 상이한 생성된 에칭률은 하기 표 3에 제공되어 있다.
[표 3]
Figure pct00007
실시예 25: SnO 2 에칭률에 대한 온도 효과
Si 상의 SnO2의 노출된 층을 갖는 기판의 에칭을 수행하였다. 기판은 50℃ 및 330Å에서 제공된다. 각각의 실시는 2sccm의 유량 (증기 유입), 350 mTorr (0.467 mBar)로 설정된 압력, 30초의 에칭 시간, 및 200 W의 전력을 포함하였다. 온도만이 실시들 간에 상이하였고, 상이한 생성된 에칭률은 하기 표 4에 제공되어 있다.
[표 4]
Figure pct00008
다단계 증류
가수분해 반응을 통해 분자로부터 리간드를 제거하는데 필요한 활성화 에너지의 이론적인 모델링으로부터, 분자 사이에서 넓은 범위의 활성화 에너지가 관찰된다. 따라서 반응성의 차이가 관측된다. 이는 분자가 활성화 에너지가 낮을 때 SnO2의 형성에 대한 더 높은 반응성 분자일 수 있는 가능성을 나타내지만, 이 값은 또한 분자가 합성 및 정제 공정 동안 분해 및 반응에 대한 경향이 더 높을 수 있다는 것을 나타낸다. 따라서, 화학식 I 및 II의 범위 내의 화합물의 순도를 얻는 것은 특별하게는 95% 초과 또는 심지어 99% 초과의 평가 순도를 얻는 것이 어려울 것이다.
그러나, 다단계 진공 증류를 사용하는 것은 화학식 I 또는 II의 범위 내의 화합물에 대한 95% 초과 또는 심지어 99% 초과의 평가 순도를 얻을 수 있다. 다양한 형태의 다단계 증류는 화학 제조 산업에 알려져 있으나, 화학식 I 또는 II의 화합물을 포함하는 유기금속 물질의 정제를 위해 이용되지 않았다.
도 14에 나타난 개략도에 의해 예시된 바와 같이, 다중 실시 또는 다단계 증류 (MED)는 해수 담수화를 위해 종종 사용되는 증류 공정이다. 이는 복수의 단계 또는 "실시"로 이루어진다. (도 14의 개략도에서, 제1 단계는 상부에 있다. 각각의 단계의 상부 영역은 증기이고, 각 단계의 하부 영역은 액체 공급물이다. 도면의 좌측에 따라 그리고 VC의 하부에 있는 파이프를 통해 흐르는 물질은 응축물이다. 공급물이 첫 번째 것 이외의 다른 단계로 유입되는 방식은 나타나 있지 않으나, 이는 쉽게 이해될 것이다. F - 공급물 유입. S - 가열 스팀 유입. C - 가열 스팀 배출. W - 정제된 물질 (응축물) 배출. R - 폐기물 배출. O - 냉각재 유입. P - 냉각재 배출. VC는 최종-단계 쿨러이다.) 각 단계에서, 공급물은 튜브에서 스팀에 의해 가열된다. 일부 공급물이 증발되고, 이 스팀은 다음 단계의 튜브로 유동하고, 이는 유출물을 가열하고 증발시킨다. 각 단계는 본질적으로 이전 단계로부터의 에너지를 재사용한다.
플랜트는 한쪽 단부에 있는 열 공급원 및 다른 단부에 있는 히트 싱크가 있는 튜브 벽에 의해 일련의 밀폐된 공간들로 보여질 수 있다. 각 공간은 진공을 통해 대기압보다 낮은 압력에 있다. 각 공간은 단계 n의 튜브의 외부 및 단계 n+1의 튜브의 내부인 2개의 연통되는 하부공간으로 이루어진다. 각 공간은 이전 공간보다 더 낮은 온도 및 압력을 갖고, 튜브 벽은 각 측면 상의 유체의 온도 사이의 중간 온도를 갖는다. 공간에서의 압력은 두 하부공간의 벽의 온도와 평형을 이룰 수 없고; 이는 중간 압력을 갖는다. 결과적으로, 제1 하부공간에서 압력은 너무 낮거나 또는 온도는 너무 높고, 공급물이 증발된다. 제2 하부공간에서, 압력은 너무 높거나 또는 온도는 너무 낮고, 증기가 응축된다. 이는 더 따듯한 제1 하부공간으로부터 더 차가운 제2 하부공간으로 증발 에너지를 전달한다. 제2 하부공간에서 에너지는 더 차가운 다음 공간으로 튜브 벽을 통한 전도에 의해 유동한다.
바람직한 이의 구현예과 결합되어 기재되지만, 첨부된 청구범위에 정의된 본 발명의 범위를 벗어나지 않고 구체적으로 기재되지 않은 추가, 삭제, 수정 및 치환이 이루어질 수 있다는 것이 당업자에 의해 이해될 것이다.

Claims (48)

  1. 하기 화학식 I의 유기금속 화합물:
    Qx-Sn-(A1R1' z)4-x 화학식 I
    식 중:
    Q는 OR1 또는 Cp이고;
    각각의 R1 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고;
    각각의 R1' 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 또는 아릴 기로 이루어진 군으로부터 선택되고;
    x는 1 내지 4의 정수이고;
    x가 0인 경우 A1은 0이고, x가 1 내지 3의 정수인 경우 A1은 N이고; 그리고
    A1이 0인 경우 z는 1이고, A1이 N인 경우 z는 2이다.
  2. 제1항에 있어서, x는 1 내지 3의 정수인 유기금속 화합물.
  3. 제1항 또는 제2항에 있어서, Q는 OR1인 유기금속 화합물.
  4. 제1항 또는 제2항에 있어서, Q는 Cp인 유기금속 화합물.
  5. 제4항에 있어서, x는 1인 유기금속 화합물.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 각각의 R1' 기는 독립적으로 1 내지 4개의 탄소 원자를 갖는 알킬 기로 이루어진 군으로부터 선택되는 유기금속 화합물.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 각각의 R1' 기는 Me인 유기금속 화합물.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, z가 2인 경우, 각각의 R1'은 2개의 상이한 알킬, 아실, 또는 아릴 기의 조합을 나타내는 유기금속 화합물.
  9. 제1항에 있어서, x는 4인 유기금속 화합물.
  10. 제1항 내지 제3항 및 제6항 내지 제9항 중 어느 한 항에 있어서, 각각의 R1 기는 독립적으로 1 내지 4개의 탄소 원자를 갖는 알킬 기로 이루어진 군으로부터 선택되는 유기금속 화합물.
  11. 제1항 내지 제3항 및 제6항 내지 제10항 중 어느 한 항에 있어서, 적어도 하나의 R1 기는 Me인 유기금속 화합물.
  12. 제1항에 있어서, (MeO)2Sn(NMe2)2, (MeO)2Sn(NEtMe)2, (MeO)3Sn(NMe2), (MeO)3Sn(NEtMe), Sn(OMe)4, CpSn(NMeEt)3, 및 CpSn(NMe2)3로 이루어진 군으로부터 선택되는 유기금속 화합물.
  13. 하기 화학식 II의 유기금속 화합물:
    Sn(NR2(CH2)nA2)2 화학식 II
    각각의 A2는 독립적으로 NR2' 또는 O로부터 선택되고;
    각각의 R2 기는 독립적으로 수소 및 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고;
    각각의 R2' 기는 독립적으로 수소 및 1 내지 10개의 탄소 원자를 갖는 알킬, 아실 또는 아릴 기로 이루어진 군으로부터 선택되고;
    n은 2 또는 3이고;
    선택적으로 NR2(CH2)nNR2'는 환형 구조를 형성하고; 그리고
    선택적으로 (CH2) 중의 적어도 하나는 1 내지 10개의 탄소 원자를 갖는 알킬 기로의 하나 이상의 치환을 갖는다.
  14. 제13항에 있어서, 각각의 A2는 O이고 화학식 II는 하기 화학식 IIa: Sn(NR2(CH2)nO)2로 표시되는 유기금속 화합물.
  15. 제14항에 있어서, 각각의 R2 기는 독립적으로 1 내지 4개의 탄소 원자를 갖는 알킬 기로 이루어진 군으로부터 선택되는 유기금속 화합물.
  16. 제13항에 있어서, CH2 중의 적어도 하나는 1 내지 10개의 탄소 원자를 갖는 알킬 기로의 하나 이상의 치환을 갖는 유기금속 화합물.
  17. 제16항에 있어서, 각 아민에서의 CH2 중의 유일한 하나는 1 내지 10개의 탄소 원자를 갖는 알킬 기로의 하나 이상의 치환을 갖는 유기금속 화합물.
  18. 제17항에 있어서, 각 아민에서의 CH2 중의 하나는 메틸 또는 에틸로의 2개의 치환을 갖는 유기금속 화합물.
  19. 제13항 및 제16항 내지 제18항 중 어느 한 항에 있어서, 각각의 A2는 N이고, 화학식 II는 하기 화학식 IIb:
    Figure pct00009
    로 표시되고,
    식 중, 각각의 R2'' 기 및 각각의 R2''' 기는 1 내지 10개의 탄소 원자를 갖는 독립적으로 선택된 알킬 기인 유기금속 화합물.
  20. 제19항에 있어서, 각각의 R2'' 기 및 각각의 R2''' 기는 메틸 또는 에틸인 유기금속 화합물.
  21. 제16항 내지 제20항 중 어느 한 항에 있어서, 각각의 R2 기 및 각각의 R2' 기는 독립적으로 1 내지 4개의 탄소 원자를 갖는 알킬 기로 이루어진 군으로부터 선택되는 유기금속 화합물.
  22. 제16항 내지 제20항 중 어느 한 항에 있어서, 각각의 R2 기 및 각각의 R2' 기는 수소인 유기금속 화합물.
  23. 제13항에 있어서, 각각의 NR2(CH2)nNR2'는 환형 구조를 형성하는 유기금속 화합물.
  24. 제23항에 있어서, 화학식 II는 하기 화학식 IIc:
    Figure pct00010
    로 표시되는 유기금속 화합물.
  25. 제13항에 있어서, 각각의 (NR2(CH2)nA2)는 N,N'-디메틸에틸렌디아민 (NMe(CH2)2NMe), 피페라진 (N2C4H8), N,N'-디에틸에틸렌디아민 (NEt(CH2)2NEt), N,N'-디이소프로필에틸렌디아민 (NiPr(CH2)2NiPr), N,N'-디-tert-부틸에틸렌디아민 (NtBu(CH2)2NtBu), N,N'-디메틸-1,3-프로판디아민 (NMe(CH2)3NMe), 2,2-디메틸-1,3-프로판디아민 (NH(CH2)(C(CH3))(CH2)NH), 2-(메틸아미노)에탄올 (NMe(CH2)2O), 및 2-(에틸아미노)에탄올 (NEt(CH2)2O)로 이루어진 군으로부터 선택되는 유기금속 화합물.
  26. 하기 화학식 I로 표시되는 제1 유기금속 화합물, 및
    하기 화학식 II로 표시되는 제2 유기금속 화합물
    을 포함하는 조성물:
    R3 2Sn(NR3' 2)2 화학식 I
    식 중:
    각각의 R3 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고;
    각각의 R3' 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택되고;
    Sn(NR4 2)4 화학식 II
    식 중, 각각의 R4 기는 독립적으로 1 내지 10개의 탄소 원자를 갖는 알킬 또는 아릴 기로 이루어진 군으로부터 선택된다.
  27. 제26항에 있어서, R3' 기와 R4 기는 동일한 것인 조성물.
  28. 제26항 또는 제27항에 있어서, 각각의 R3 기는 독립적으로 1 내지 4개의 탄소 원자를 알킬 기로 이루어진 군으로부터 선택되는 조성물.
  29. 제26항 내지 제28항 중 어느 한 항에 있어서, 각각의 R3' 기 및 각각의 R4 기는 독립적으로 1 내지 4개의 탄소 원자를 알킬 기로 이루어진 군으로부터 선택되는 조성물.
  30. 제26항 내지 제29항 중 어느 한 항에 있어서, 제2 유기금속 화합물은 Sn(NMe2)4, Sn(NEt2)4, 및 Sn(NMeEt)4로 이루어진 군으로부터 선택되는 조성물.
  31. 반응기 챔버의 내부 표면으로부터 또는 상기 반응기 챔버 내의 기판으로부터 산화주석 증착물을 제거하기 위한 방법으로서,
    산화주석 증착물을 포함하는 상기 반응기 챔버 내로 에칭제 가스를 주입하는 단계로서, 상기 에칭제 가스는 일반 화학식 A3OmXn의 것이고, 식 중:
    A3는 C, N, 및 S로 이루어진 군으로부터 선택되고,
    O는 산소이고,
    각각의 X는 독립적으로 할로겐으로 이루어진 군으로부터 선택되고,
    하첨자 mn은 0 초과인 단계;
    상기 주입 이전 또는 이후에 상기 에칭제 가스를 활성화시키는 단계;
    상기 활성화된 에칭제 가스 및 상기 산화주석 증착물 사이에서 에칭 반응이 진행될 수 있게 하는 단계; 및
    에칭 반응의 기체 생성물과 함께 에칭제 가스를 배출시키는 단계
    를 포함하는 방법.
  32. 반응기 챔버의 내부 표면으로부터 또는 상기 반응기 챔버 내의 기판으로부터 증착물을 제거하기 위한 방법으로서,
    상기 반응기 챔버 내로 에칭제 가스 및 첨가제를 주입하는 단계로서, 상기 에칭제 가스는 일반 화학식 A3OmXn의 것이고, 식 중:
    A3는 N, 및 S로 이루어진 군으로부터 선택되고,
    O는 산소이고,
    각각의 X는 독립적으로 할로겐으로 이루어진 군으로부터 선택되고,
    하첨자 mn은 0 초과이고,
    상기 첨가제는 일반 화학식 CxHyOz의 것이고, 식 중, 하첨자 x z는 0 초과인 단계;
    상기 주입 이전 또는 이후에 상기 에칭제 가스를 활성화시키는 단계;
    상기 활성화된 에칭제 가스 및 상기 증착물 사이에서 에칭 반응이 진행될 수 있게 하는 단계; 및
    에칭 반응의 기체 생성물과 함께 에칭제 가스를 배출시키는 단계
    를 포함하는 방법.
  33. 제31항 또는 제32항에 있어서, X는 2개의 상이한 할로겐의 조합을 나타내는 방법.
  34. 제31항 내지 제33항 중 어느 한 항에 있어서, 상기 챔버로의 상기 주입 전에 상기 에칭제 가스를 발생시키는 단계를 추가로 포함하는 방법.
  35. 제31항 내지 제34항 중 어느 한 항에 있어서, 상기 에칭제 가스를 상기 챔버로의 상기 주입 전에, 캐리어 가스를 액체 화학 성분을 통해 버블링시켜 상기 액체 화학 성분을 상기 에칭제로 휘발시키기 단계를 추가로 포함하는 방법.
  36. 제35항에 있어서, 상기 에칭제 가스는 캐리어 가스를 복수의 액체 화학 성분을 통해 버블링시키고 이후 생성된 가스를 조합함으로써 발생되는 방법.
  37. 제35항에 있어서, 상기 에칭제 가스는 2개 이상의 화학 성분 가스를 혼합함으로써 발생되는 방법.
  38. 제31항 내지 제37항 중 어느 한 항에 있어서, 상기 에칭제 가스는 상기 챔버로의 주입 전에 가스 활성화 챔버에서 활성화 메커니즘에 이를 노출시킴으로써 활성화되고; 상기 가스 활성화 메커니즘은 열, 자외선 광 및 플라즈마 방전으로 이루어진 군으로부터 선택되는 방법.
  39. 제31항 내지 제38항 중 어느 한 항에 있어서, 상기 에칭제 가스는 상기 챔버로의 주입 후에 열 활성화 메커니즘에 이를 노출시킴으로써 활성화되고; 상기 열 활성화 메커니즘은 상기 챔버 내의 전체 온도 및 상기 챔버 내의 국소적 열 공급원으로 이루어진 군으로부터 선택되는 방법.
  40. 제31항 내지 제39항 중 어느 한 항에 있어서, 상기 에칭제 가스는 티오닐 클로라이드 (SOCl2)인 방법.
  41. 제32항 내지 제40항 중 어느 한 항에 있어서, 상기 첨가제는 CO 또는 CO2인 방법.
  42. 제31항 내지 제41항 중 어느 한 항에 있어서, 할로겐 함유 첨가제가 상기 에칭제 가스를 갖는 가스 혼합물에 첨가되는 방법.
  43. 제42항에 있어서, 할로겐 함유 첨가제는 활성 할로겐 또는 일반 화학식 RY의 화합물이고, 여기서 R은 H 및 Me로 이루어진 군으로부터 선택되고; Y는 F, Cl, Br, 및 I로 이루어진 군으로부터 선택되는 할로겐인 방법.
  44. 제43항에 있어서, 활성 할로겐은 Cl 또는 Br을 포함하는 방법.
  45. 제31항 내지 제44항 중 어느 한 항에 있어서, 희석 첨가제가 상기 에칭제 가스를 갖는 가스 혼합물에 첨가되는 방법.
  46. 제45항에 있어서, 희석 첨가제는 N, Ar, He, 또는 Ne를 포함하는 방법.
  47. 제31항 내지 제46항 중 어느 한 항에 있어서, 적어도 100℃, 바람직하게는 100℃ 내지 900℃, 보다 바람직하게는 100℃ 내지 400℃의 온도로 상기 반응기 챔버를 가열하는 단계를 추가로 포함하는 방법.
  48. 제31항 내지 제47항 중 어느 한 항에 있어서, 상기 반응기 챔버에 0.1 mBar 내지 1500 mBar, 바람직하게는 0.1 mBar 내지 1000 mBar의 압력을 제공하는 단계를 추가로 포함하는 방법.
KR1020227009444A 2019-08-29 2020-08-28 고순도 산화주석의 증착을 위한 유기금속 화합물 및 산화주석 필름의 건조 에칭 및 증착 반응기 KR20220052968A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
SG10201907997R 2019-08-29
SG10201907997R 2019-08-29
US201916575605A 2019-09-19 2019-09-19
US16/575,605 2019-09-19
PCT/IB2020/058069 WO2021038523A1 (en) 2019-08-29 2020-08-28 Organometallic compounds for the deposition of high purity tin oxide and dry etching of the tin oxide films and deposition reactors

Publications (1)

Publication Number Publication Date
KR20220052968A true KR20220052968A (ko) 2022-04-28

Family

ID=74685289

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227009444A KR20220052968A (ko) 2019-08-29 2020-08-28 고순도 산화주석의 증착을 위한 유기금속 화합물 및 산화주석 필름의 건조 에칭 및 증착 반응기

Country Status (7)

Country Link
US (1) US20220306657A1 (ko)
JP (1) JP2023520089A (ko)
KR (1) KR20220052968A (ko)
CN (1) CN114630834A (ko)
GB (1) GB2603073B (ko)
TW (1) TW202115096A (ko)
WO (1) WO2021038523A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11621172B2 (en) 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
TWI817463B (zh) * 2020-07-03 2023-10-01 美商恩特葛瑞斯股份有限公司 製備有機錫化合物的方法
KR20230131941A (ko) * 2021-01-28 2023-09-14 엔테그리스, 아이엔씨. 유기주석 화합물을 제조하는 방법
US11827659B2 (en) * 2022-03-31 2023-11-28 Feng Lu Organometallic tin compounds as EUV photoresist
WO2023209506A1 (en) * 2022-04-25 2023-11-02 Seastar Chemicals Ulc Tin containing organometallic compounds
US20240128091A1 (en) * 2022-10-13 2024-04-18 Applied Materials, Inc. Dry etching with etch byproduct self-cleaning
CN116410222B (zh) * 2023-06-09 2023-08-08 研峰科技(北京)有限公司 一种叔丁基三(二甲氨基)锡烷的合成方法
CN116574126B (zh) * 2023-07-07 2023-09-22 研峰科技(北京)有限公司 一种高纯度叔丁醇锡的合成方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
CN102810483B (zh) * 2012-08-07 2015-09-23 清华大学 氧化物半导体薄膜及制备方法、薄膜晶体管及制备方法
KR101792165B1 (ko) * 2012-12-18 2017-10-31 시스타 케미칼즈 인코포레이티드 박막 증착 반응기 및 박막 층의 반응계내 건식 세정 공정 및 방법
JP2018095587A (ja) * 2016-12-13 2018-06-21 日本合成化学工業株式会社 スズキレート錯体、その製造方法、およびスズキレート錯体から形成されてなる透明導電膜
CA2975104A1 (en) * 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
CN109988189A (zh) * 2019-05-09 2019-07-09 苏州复纳电子科技有限公司 一种四(甲乙胺基)锡的合成方法

Also Published As

Publication number Publication date
CN114630834A (zh) 2022-06-14
TW202115096A (zh) 2021-04-16
JP2023520089A (ja) 2023-05-16
GB2603073A (en) 2022-07-27
GB202204155D0 (en) 2022-05-11
US20220306657A1 (en) 2022-09-29
GB2603073B (en) 2023-10-11
WO2021038523A1 (en) 2021-03-04

Similar Documents

Publication Publication Date Title
KR20220052968A (ko) 고순도 산화주석의 증착을 위한 유기금속 화합물 및 산화주석 필름의 건조 에칭 및 증착 반응기
CN113025992B (zh) 组合物和使用所述组合物沉积含硅膜的方法
EP2934775B1 (en) Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
EP2257561B1 (en) Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
TWI464291B (zh) 利用含鈦前驅物以原子層沉積製備薄膜之方法
EP2535343B1 (en) Organoaminosilane precursors and methods for making and using same
JP2019220713A (ja) 炭素ドープケイ素含有膜のための組成物及びそれを使用する方法
JP6543354B2 (ja) ハライド交換反応によるSi−H含有ヨードシランの調製
JP6340470B2 (ja) 薄膜析出用モリブデンおよびタングステン含有前駆体
JPWO2013065806A1 (ja) トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
KR20180138215A (ko) 할로실란의 합성 방법
JP2008266280A (ja) イミド錯体、その製造方法、金属含有薄膜及びその製造方法
US20210061833A1 (en) Lanthanoid compound, lanthanoid-containing thin film and formation of lanthanoid-containing thin film using the lanthanoid compound
JP5260148B2 (ja) ストロンチウム含有薄膜の形成方法
JP5214191B2 (ja) 薄膜形成用原料及び薄膜の製造方法
JP5042548B2 (ja) 金属含有化合物、その製造方法、金属含有薄膜及びその形成方法
JPH0940683A (ja) 高純度Ti錯体及びその製造方法並びにBST膜形成用液体組成物
KR20120120182A (ko) 루테늄 착체 혼합물, 그 제조방법, 성막용 조성물, 루테늄 함유 막 및 그 제조방법
JP7032781B2 (ja) ガリウムを含有する薄膜の原子層堆積方法
JP6116007B2 (ja) 薄膜形成用原料及び薄膜の製造方法
JP4745137B2 (ja) 薄膜形成用原料、薄膜の製造方法及びハフニウム化合物
JPH04232272A (ja) チタン、ジルコニウム又はハフニウムを含有する層の基板上への析出方法
JP2016108247A (ja) ビス(シリルアミドアミノアルカン)マンガン化合物及び当該マンガン化合物を用いたマンガン含有膜の製造方法
Gordon et al. CVD precursors containing hydropyridine ligands
TW202144609A (zh) 用於選擇性形成含金屬膜之化合物及方法