KR20210100532A - 성막 방법 - Google Patents

성막 방법 Download PDF

Info

Publication number
KR20210100532A
KR20210100532A KR1020210009884A KR20210009884A KR20210100532A KR 20210100532 A KR20210100532 A KR 20210100532A KR 1020210009884 A KR1020210009884 A KR 1020210009884A KR 20210009884 A KR20210009884 A KR 20210009884A KR 20210100532 A KR20210100532 A KR 20210100532A
Authority
KR
South Korea
Prior art keywords
film
gas
metal
forming
substrate
Prior art date
Application number
KR1020210009884A
Other languages
English (en)
Other versions
KR102571409B1 (ko
Inventor
슈지 아즈모
신이치 이케
유미코 가와노
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20210100532A publication Critical patent/KR20210100532A/ko
Application granted granted Critical
Publication of KR102571409B1 publication Critical patent/KR102571409B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/01Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes on temporary substrates, e.g. substrates subsequently removed by etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)

Abstract

본 발명은, 자기 조직화 막을 이용해서 원하는 영역에 금속 산화막을 선택적으로 형성할 때의 선택성을 강화할 수 있는 기술을 제공한다. 제1 영역의 표면에 형성된 제1 금속제의 금속층과, 제2 영역의 표면에 형성된 절연층을 갖는 기판을 준비하는 공정과, 자기 조직화 막의 원료 가스를 공급하여, 상기 금속층의 표면에 자기 조직화 막을 형성하는 공정과, 상기 자기 조직화 막을 형성한 후에, 제2 금속을 함유하는 전구체 가스의 공급과, 산화 가스의 공급을 반복하여, 원자층 퇴적법에 의해 상기 절연층 상에 제2 금속 산화막을 형성하는 공정과, 상기 산화 가스의 공급 후이며 상기 전구체 가스의 공급 전에, 환원 가스를 공급해서 상기 제1 금속의 표면에 형성된 상기 제1 금속의 산화막을 환원하는 공정을 포함하는, 성막 방법이 제공된다.

Description

성막 방법{FILM FORMING METHOD}
본 개시는, 성막 방법에 관한 것이다.
특허문헌 1에는, 게이트 유전체층 상에 자기 조직화 단분자층으로 구성되는 저지층을 형성하고 나서, 게이트 유전체층 상에 원자층 퇴적(ALD)법으로 제1 금속층을 퇴적시키면, 제1 금속층은 저지층이 있는 영역 내의 게이트 유전체층 상에는 형성되지 않고, 저지층이 없는 영역 내에 선택적으로 성막되는 기술이 개시되어 있다.
일본 특허 공표 제2007-533156호 공보
본 개시는, 자기 조직화 막을 이용해서 원하는 영역에 금속 산화막을 선택적으로 형성할 때의 선택성을 강화할 수 있는 기술을 제공한다.
본 개시의 일 양태에 의하면, 제1 영역의 표면에 형성된 제1 금속제의 금속층과, 제2 영역의 표면에 형성된 절연층을 갖는 기판을 준비하는 공정과, 자기 조직화 막의 원료 가스를 공급하여, 상기 금속층의 표면에 자기 조직화 막을 형성하는 공정과, 상기 자기 조직화 막을 형성한 후에, 제2 금속을 함유하는 전구체 가스의 공급과, 산화 가스의 공급을 반복하여, 원자층 퇴적법에 의해 상기 절연층 상에 제2 금속 산화막을 형성하는 공정과, 상기 산화 가스의 공급 후이며 상기 전구체 가스의 공급 전에, 환원 가스를 공급해서 상기 제1 금속의 표면에 형성된 상기 제1 금속의 산화막을 환원하는 공정을 포함하는, 성막 방법이 제공된다.
일 측면에 의하면, 자기 조직화 막을 이용해서 원하는 영역에 금속 산화막을 선택적으로 형성할 때의 선택성을 강화할 수 있다.
도 1은 실시 형태에 따른 성막 방법의 일례를 나타내는 흐름도이다.
도 2는 도 1에 도시하는 각 공정에서의 기판의 상태의 일례를 도시하는 단면도이다.
도 3은 도 1에 도시하는 각 공정에서의 기판의 상태의 일례를 도시하는 단면도이다.
도 4는 일 실시 형태에 따른 성막 방법을 실시하기 위한 성막 시스템의 일례를 도시하는 모식도이다.
도 5는 성막 장치 및 SAM 형성 장치로서 사용할 수 있는 처리 장치의 일례를 도시하는 단면도이다.
이하, 본 개시를 실시하기 위한 형태에 대해서 도면을 참조하여 설명한다. 또한, 본 명세서 및 도면에 있어서, 실질적으로 동일한 구성에 대해서는, 동일한 번호를 부여함으로써 중복된 설명을 생략하는 경우가 있다. 이하에서는 도면 중에서의 상하의 방향 또는 관계를 사용해서 설명하는데, 보편적인 상하의 방향 또는 관계를 나타내는 것은 아니다.
<실시 형태>
도 1은, 실시 형태에 따른 성막 방법의 일례를 나타내는 흐름도이다. 도 2 및 도 3은, 도 1에 도시하는 각 공정에서의 기판의 상태의 일례를 도시하는 단면도이다. 도 2의 (A) 내지 도 2의 (E)는 각각, 도 1에 도시하는 공정 S101 내지 S105에 대응하는 기판(10)의 상태를 도시한다. 도 3의 (A) 내지 도 3의 (D)는, 도 1에 도시하는 공정 S104A 내지 S104C에 대응하는 기판(10)의 상태를 도시하고, 도 2의 (C)에 도시하는 기판(10)에서 도 2의 (D)에 도시하는 기판(10)으로의 상태 변화의 상세를 도시하고 있다.
성막 방법은, 도 2의 (A)에 도시하는 바와 같이 기판(10)을 준비하는 공정 S101을 포함한다. 준비하는 것은, 예를 들어 성막 장치의 처리 용기(챔버)의 내부에 기판(10)을 반입하는 것을 포함한다. 기판(10)은, 도전막(11), 자연 산화막(11A), 절연막(12) 및 하지 기판(15)을 포함한다.
도전막(11) 및 절연막(12)은, 하지 기판(15)의 한쪽 면(도 2의 (A)에서의 상면)에 마련되어 있고, 도전막(11)의 한쪽 면(도 2의 (A)에서의 상면)에는 자연 산화막(11A)이 마련되어 있다. 도 2의 (A)에서는, 기판(10)의 표면에 자연 산화막(11A) 및 절연막(12)이 노출되어 있다.
기판(10)은 제1 영역(A1) 및 제2 영역(A2)을 갖는다. 여기에서는, 일례로서, 제1 영역(A1) 및 제2 영역(A2)은 평면으로 보아 인접하고 있다. 도전막(11)은, 제1 영역(A1) 내에서 하지 기판(15)의 상면측에 마련되고, 절연막(12)은, 제2 영역(A2) 내에서 하지 기판(15)의 상면측에 마련된다. 자연 산화막(11A)은, 제1 영역(A1) 내에서 도전막(11)의 상면에 마련된다.
제1 영역(A1)의 수는, 도 2의 (A)에서는 1개이지만, 복수이어도 된다. 예를 들어 2개의 제1 영역(A1)이 제2 영역(A2)을 사이에 두도록 배치되어도 된다. 마찬가지로, 제2 영역(A2)의 수는, 도 2의 (A)에서는 1개이지만, 복수이어도 된다. 예를 들어 2개의 제2 영역(A2)이 제1 영역(A1)을 사이에 두도록 배치되어도 된다.
또한, 도 2의 (A)에서는 제1 영역(A1) 및 제2 영역(A2)만이 존재하지만, 제3 영역이 더 존재해도 된다. 제3 영역은, 제1 영역(A1)의 도전막(11) 및 제2 영역(A2)의 절연막(12)과는 다른 재료의 층이 노출되는 영역이다. 제3 영역은, 제1 영역(A1)과 제2 영역(A2)의 사이에 배치되어도 되고, 제1 영역(A1) 및 제2 영역(A2)의 밖에 배치되어도 된다.
도전막(11)은 제1 금속제의 금속층의 일례이다. 제1 금속은, 예를 들어 구리(Cu), 코발트(Co), 텅스텐(W), 또는 루테늄(Ru) 등의 금속이다. 이들 금속의 표면은, 대기 중에서 시간의 경과와 함께 자연스럽게 산화된다. 그 산화물이 자연 산화막(11A)이다. 자연 산화막(11A)은 환원 처리에 의해 제거 가능하다.
여기에서는, 일례로서, 도전막(11)이 구리(Cu)이며, 자연 산화막(11A)이 자연 산화에 의해 형성된 산화구리인 형태에 대해서 설명한다. 자연 산화막(11A)으로서의 산화구리는, CuO와 Cu2O를 포함할 수 있다.
절연막(12)은 절연층의 일례이다. 절연층은, 예를 들어 규소(Si)를 포함하는 절연 재료이며, 예를 들어 산화규소, 질화규소, 산질화규소, 탄화규소, 산탄화규소, 또는 산탄질화규소 등이다. 이하, 산화규소를, 산소와 규소의 조성비에 관계없이 SiO라고도 표기한다. 마찬가지로, 질화규소를 SiN이라고도 표기하고, 산질화규소를 SiON이라고도 표기하고, 탄화규소를 SiC라고도 표기하고, 산탄화규소를 SiOC라고도 표기하고, 산탄질화규소를 SiOCN이라고도 표기한다. 절연층은, 본 실시 형태에서는 SiO이다.
하지 기판(15)은, 예를 들어 실리콘 웨이퍼 등의 반도체 기판이다. 기판(10)은, 하지 기판(15)과 도전막(11)의 사이에, 하지 기판(15) 및 도전막(11)과는 다른 재료로 형성되는 하지막을 더 포함하고 있어도 된다. 마찬가지로, 기판(10)은, 하지 기판(15)과 절연막(12)의 사이에, 하지 기판(15) 및 절연막(12)과는 다른 재료로 형성되는 하지막을 또한 갖고 있어도 된다.
이러한 하지막은, 예를 들어 SiN층 등이어도 된다. SiN층 등은, 예를 들어 에칭을 스톱시키는 에치 스톱 레이어이어도 된다.
성막 방법은, 자연 산화막(11A)(도 2의 (A) 참조)을 환원함으로써, 도 2의 (B)에 도시하는 기판(10)을 제작하는 공정 S102를 포함한다. 자연 산화막(11A)을 환원하기 위해서는, 예를 들어 성막 장치의 처리 용기에서의 수소(H2) 및 아르곤(Ar)의 유량을 각각 100sccm 및 2500sccm으로 설정해서 처리 용기 내의 압력을 1torr 내지 10torr(133.32Pa 내지 1333.22Pa)로 설정한다. 그리고, 수소가 처리 용기 내의 분위기 가스의 0.5% 미만으로 되는 수소 분위기 하에서, 기판(10)이 150℃ 내지 200℃가 되도록 서셉터를 가열한다.
공정 S102에 의해, 자연 산화막(11A)으로서의 산화구리는 Cu로 환원되어 제거된다. 그 결과, 도 2의 (B)에 도시하는 바와 같이, 도전막(11), 절연막(12) 및 하지 기판(15)을 포함하는 기판(10)이 얻어진다. 기판(10)의 제1 영역(A1)의 표면에는, 도전막(11)으로서의 Cu가 노출되어 있다. 또한, 자연 산화막(11A)의 환원 처리는, 수소(H2) 플라스마를 이용한 환원 처리이어도 된다. 또한, 자연 산화막(11A)의 환원 처리는, 드라이 프로세스에 한하지 않고, 예를 들어 이소프로필알코올(IPA) 등의 알코올을 사용한 웨트 프로세스이어도 된다. 또한, 자연 산화막(11A)의 환원 처리는, 산소를 포함하는 유기 분자를 사용한 프로세스이어도 된다. 또한, 자연 산화막(11A)의 환원 처리는, FGA(Forming Gas Anneal)와 같은 열처리이어도 된다. FGA는, 예를 들어 기판(10)을 약 300℃ 내지 450℃ 정도로 가열한 상태에서, 처리 용기에 미량의 수소를 혼합한 질소 가스를 흘림으로써 자연 산화막(11A)의 환원 처리를 행하는 열처리이다.
성막 방법은, 도 2의 (C)에 도시하는 바와 같이, SAM(자기 조직화 단분자 막(Self-Assembled Monolayer))(13)을 형성하는 공정 S103을 포함한다. SAM(13)은, 기판(10)의 제1 영역(A1)에 형성되어, 후술하는 AlO막(14)(도 2의 (D) 참조)의 형성을 저해한다. SAM(13)은, 제2 영역(A2)에는 형성되지 않는다. SAM(13)은, 자기 조직화 막의 일례이다.
SAM(13)을 형성하기 위한 유기 화합물은, 티올계라면, 플루오로카본계(CFx) 혹은 알킬계(CHx) 중 어느 관능기를 갖고 있어도 되고, 예를 들어 CH3(CH2)[x]CH2SH[x=1 내지 18], CF3(CF2)[x]CH2CH2SH[x=0 내지 18]가 된다. 또한, 플루오로카본계(CFx)에는, 플루오로벤젠티올도 포함된다.
예를 들어, 가스 상태의 티올계의 유기 화합물 및 아르곤(Ar)의 유량을 각각 100sccm 및 1500sccm으로 설정해서 성막 장치의 처리 용기 내의 압력을 1torr 내지 10torr(133.32Pa 내지 1333.22Pa)로 설정하고, 기판(10)이 150℃ 내지 200℃가 되도록 서셉터를 가열한다.
상술한 바와 같은 티올계의 유기 화합물은, 금속 산화물과의 전자의 수수가 발생하기 쉬운 화합물이다. 따라서, SAM(13)은, 도전막(11)의 표면에 흡착되고, 전자의 수수가 발생하기 어려운 절연막(12)의 표면에는 흡착되기 어려운 성질을 갖는다. 이 때문에, 도전막(11)의 표면에 SAM(13)이 선택적으로 형성된다.
공정 S103에 의해, 도전막(11)의 표면에 SAM(13)이 형성되고, 도 2의 (C)에 도시하는 바와 같이, 제1 영역(A1)에 도전막(11) 및 SAM(13), 제2 영역(A2)에 절연막(12)이 형성된 기판(10)이 얻어진다. 도 2의 (C)에서는, 기판(10)의 표면에 SAM(13) 및 절연막(12)이 노출되어 있다. 공정 S103은, SAM(13)을 형성하기 위한 티올계의 유기 화합물의 선택성을 이용하고 있다.
성막 방법은, 도 2의 (C)에 도시하는 기판(10)의 표면에, 도 2의 (D)에 도시하는 바와 같이, ALD(Atomic Layer Deposition: 원자층 퇴적)법으로, SAM(13)을 사용해서 선택적으로 제2 영역(A2)의 절연막(12)의 표면에 제2 금속의 산화막으로서 AlO막(14)을 성막하는 공정 S104를 포함한다. SAM(13)은 AlO막(14)의 형성을 저해하므로, AlO막(14)은 제2 영역(A2)에 선택적으로 형성된다. 제2 영역(A2)에 원래 존재하는 절연막(12) 상에, 또한 절연막인 AlO막(14)을 선택적으로 적층할 수 있다. 또한, 제1 영역(A1) 및 제2 영역(A2)에 더하여 제3 영역이 존재하는 경우, 제3 영역에는 AlO막(14)이 형성되어도 되고, 형성되지 않아도 된다.
AlO막(14)은, 산화알루미늄 Al2O3(알루미나) 이외의 조성의 산화된 알루미늄을 포함할 수 있다. 즉, 여기에서는, 알루미늄과 산소의 조성비에 관계없이 AlO라고도 표기한다. 이러한 AlO막(14)을 성막하는 공정의 상세에 대해서는, 도 3을 사용해서 후술한다.
성막 방법은, 도 2의 (E)에 도시하는 바와 같이, SAM(13)(도 2의 (D) 참조)을 제거하는 공정 S105를 포함한다. SAM(13)의 제거는, 예를 들어 플라스마를 사용한 처리 등에서 행하면 된다. SAM(13)을 제거하기 위한 처리에서 사용하는 플라스마의 생성 기구는, 예를 들어 용량 결합 플라스마(Capacitively Coupled Plasma: CCP), 유도성 결합형 플라스마(Inductively Coupled Plasma: ICP), 마이크로파 플라스마(Microwave Plasma: MWP) 등이며, 라디칼을 생성 가능한 플라스마의 생성 기구이면 된다. 또한, 플라스마 생성 기구를 처리 용기에 일체적으로 내장해도 되고, 플라스마 생성 기구를 처리 용기와는 별체로 마련하여, 플라스마 생성 가스를 처리 용기의 밖에서 미리 플라스마화해서 처리 용기에 도입하는 리모트 플라즈마 장치를 사용해도 된다.
이어서, AlO막(14)을 성막하는 공정 S104의 상세에 대해서 설명한다.
ALD법으로 AlO막(14)을 성막하는 공정은, 먼저, 도 3의 (A)에 도시하는 바와 같이, TMA(트리메틸알루미늄)의 원료 가스를 공급함으로써, 도 2의 (C)에 도시하는 기판(10)의 제2 영역(A2)에 TMA막(14A)을 흡착시키는 공정 S104A를 포함한다. 공정 S104A를 최초에 행할 때는, 도 3의 (A)에 도시하는 바와 같이 제2 영역(A2)의 절연막(12)의 표면에 TMA막(14A)을 흡착시키게 된다.
TMA는, 유기 알루미늄 화합물이며, AlO막(14)을 제작하기 위한 전구체(프리커서)이다. 즉, TMA의 원료 가스는 전구체 가스이다. TMA의 원료 가스는, 히드록시기(OH기)에 흡착되는 성질을 갖는다.
여기서, 절연막(12)의 SiO의 표면에는 히드록시기가 존재한다. 또한, SAM(13)은, 분자간의 반데르발스힘에 의해 높은 배향성을 나타내며, 막의 표면에 대하여 경사진 방향으로 배향되는 경향이 있으며, 분자간에 간극이 있다. 이러한 간극에 TMA의 원료 가스가 인입될 우려가 있지만, 도전막(11)의 표면은, 도 2의 (B)에 도시하는 공정에서 환원되어 있기 때문에, 도전막(11)의 표면에는 히드록시기는 존재하지 않는다.
이 때문에, TMA의 원료 가스는, 절연막(12)의 SiO의 표면에 있는 히드록시기에 선택적으로 흡착되게 된다. 또한, TMA의 원료 가스를 공급하는 공정은, 일례로서, TMA의 원료 가스의 유량을 20sccm 내지 200sccm으로 설정해서 성막 장치의 처리 용기 내의 압력을 0.1torr 내지 10torr(13.332Pa 내지 1333.22Pa)로 설정한다. 또한, 기판(10)이 100℃ 내지 200℃가 되도록 서셉터를 가열한다.
ALD법으로 AlO막(14)을 성막하는 공정은, 리액턴트(반응 물질(여기서는 산화제))로서 H2O(수증기)를 처리 용기 내에 흘려서 TMA막(14A)을 산화시켜, 도 3의 (B)에 도시하는 바와 같이, AlO막(14B)을 제작하는 공정 S104B를 포함한다. H2O(수증기)는 산화 가스의 일례이다. 또한, 산화제는, 산소(O2), 오존(O3), 과산화수소(H2O2) 등의 가스이어도 되고, 리모트 플라스마로서 공급해도 된다.
TMA막(14A)을 산화시켜 AlO막(14B)을 제작하는 공정은, 일례로서, H2O의 유량을 50sccm 내지 300sccm으로 설정해서 성막 장치의 처리 용기 내의 압력을 0.1torr 내지 10torr(13.332Pa 내지 1333.22Pa)로 설정해서 행하면 된다.
공정 S104A 및 S104B를 1회씩 행한 경우에는, 예를 들어 막 두께가 약 0.1㎛인 AlO막(14B)이 얻어진다. 이 때문에, 공정 S104A 및 S104B를 반복해서 행함으로써, 일례로서, 막 두께가 1㎛ 내지 10㎛ 정도인 AlO막(14)(도 2의 (D) 참조)을 얻을 수 있다. 또한, 공정 S104A 및 S104B를 반복할 때는, 공정 S104A와 공정 S104B의 사이에서 처리 용기를 퍼지하면 된다.
공정 S104A 및 S104B를 1회씩 행하여 막 두께가 0.1㎛인 AlO막(14B)이 얻어지는 경우에, 막 두께가 1㎛인 AlO막(14)을 얻기 위해서는, 공정 S104A 및 S104B를 10회 반복하면 된다. 또한, 이 경우에, 막 두께가 10㎛인 AlO막(14)을 얻기 위해서는, 공정 S104A 및 S104B를 100회 반복하면 된다. 공정 S104A 및 S104B의 반복 횟수는, AlO막(14)의 원하는 막 두께에 따라서 설정하면 된다.
또한, 공정 S104B에서 H2O(수증기)를 처리 용기 내에 흘려서 TMA막(14A)을 산화시켜 AlO막(14B)을 제작할 때는, 도 3의 (B)에 도시하는 바와 같이, 도전막(11)의 표면에, 산화막(11B)이 형성되는 경우가 있다. 즉, SAM(13)의 분자간의 간극을 통해서 H2O(수증기)가 도전막(11)(Cu막)의 표면에 도달하여, 도전막(11)의 표면에 CuO로 구성되는 산화막(11B)이 생기는 경우가 있다.
이러한 산화막(11B)의 표면에는, 히드록시기가 존재하기 때문에, 공정 S104B에서 도전막(11)의 표면에 산화막(11B)이 형성된 상태에서, 공정 S104A를 행하면, TMA의 원료 가스가 절연막(12) 및 산화막(11B)의 표면에 흡착된다. 이러한 경우에는, 공정 S104A에서 절연막(12)의 표면에 TMA막(14A)을 선택적으로 흡착시킬 수 없다.
그래서, ALD법으로 AlO막(14)을 성막하는 공정은, 공정 S104B 후에, 도 3의 (C)에 도시하는 바와 같이, 처리 용기 내에 환원 가스로서 IPA(이소프로필알코올) 가스를 흘려서, 산화막(11B)(CuO)을 Cu로 환원하는 공정 S104C를 포함한다. IPA 가스가 SAM(13)의 분자간의 간극을 통해서 도전막(11)의 표면의 산화막(11B)에 도달하므로, 산화막(11B)을 환원할 수 있다. 공정 S104C 후에 공정 S104A를 행하면, 기판(10)의 제2 영역(A2)의 표면에 TMA막(14A)을 선택적으로 흡착시킬 수 있다.
공정 S104C에서는, IPA 가스의 유량을 20sccm 내지 200sccm으로 설정해서 성막 장치의 처리 용기 내의 압력을 0.1torr 내지 10torr(13.332Pa 내지 1333.22Pa)로 설정하면 된다.
또한, 도 3의 (C)에 도시하는 공정 S104C에서는, AlO막(14B)은 거의 환원되지 않기 때문에, 공정 S104C를 종료한 후에, AlO막(14B)은 잔존한다.
이렇게 공정 S104C에서 도전막(11)의 표면을 환원하면, 그 후에 공정 S104A를 다시 행하여 처리 용기에 TMA의 원료 가스를 공급해도, 도전막(11)의 표면에는 TMA는 흡착되지 않고, 도 3의 (D)에 도시하는 바와 같이, AlO막(14B) 상에 TMA막(14C)을 선택적으로 흡착시킬 수 있다. 또한, 공정 S104C에서 도전막(11)의 표면을 환원할 때 환원 가스로서 IPA 가스 대신에 수소(H2) 가스를 공급함으로써 도전막(11)의 표면을 환원해도 된다.
도 3의 (D)는, 도 3의 (A)와 마찬가지로, 기판(10)에 TMA의 원료 가스를 공급하는 공정 S104A를 도시한다. 도 3의 (A)는 최초에 절연막(12)의 표면에 TMA막(14A)을 형성하는 공정 S104A를 도시하고, 도 3의 (D)는 공정 S104A 및 S104B를 반복할 때, 2회째 이후의 공정 S104A에서, AlO막(14B) 상에 TMA막(14C)을 형성하는 공정 S104A를 도시한다.
여기서, 도 3의 (C)에 도시하는 산화막(11B)을 환원하는 공정 S104C는, 공정 S104A 및 S104B를 반복해서 행할 때, 공정 S104B 후에 매회 행해도 되고, 공정 S104A 및 S104B를 복수회 반복해서 행할 때마다, 공정 S104B 후에 행해도 된다. 후자의 경우에는, 공정 S104A 및 S104B를 복수회 반복해서 행할 때마다, 공정 S104B 후에 공정 S104C를 1회 행하게 된다. 즉, 공정 S10C는, 공정 S104A 및 S104B를 복수회 행할 때, 공정 S104B의 후 또는 공정 S104A의 전의 적어도 하나에서 행한다. 공정 S104C는, 공정 S104B에서 형성될 수 있는 산화막(11B)(CuO)을 Cu로 환원하는 공정이기 때문에, 공정 S104B 후에 행하면 된다.
또한, 공정 S104B에서, 도 3의 (B)에 도시하는 바와 같이 산화막(11B)(CuO)이 형성된 경우에, 공정 S104C를 행하지 않고 공정 S104A 및 S104B를 반복해서 행하면, 공정 S104A에서, 산화막(11B) 상에 TMA막이 흡착될 우려가 있다.
이러한 경우에, 공정 S104C의 환원 공정을 행해도, 산화막(11B) 상에 흡착된 TMA막을 환원하는 것은 거의 불가능하다. 공정 S104C와 같이 IPA의 가스를 사용한 환원 공정에서는, TMA막을 환원하기에는 환원력이 모자라기 때문이다.
이 때문에, 공정 S104A 및 S104B를 복수회 반복해서 행할 때마다, 공정 S104B 후에 공정 S104C를 1회 행하는 경우에는, 산화막(11B) 상에 TMA막이 흡착되기 전에, 공정 S104C를 행하면 된다. 즉, 산화막(11B)(CuO)을 환원해서 도전막(11)(Cu)으로 복원 가능한 동안에, 공정 S104C를 행하면 된다. 이러한 반복 횟수는, 실험 등으로 미리 구해 두면 된다.
또한, 도 3의 (A) 내지 도 3의 (D)에 도시하는 공정은, 1개 또는 복수의 공정으로 그룹을 나누어, 그룹마다 처리 용기를 바꾸어서 행해도 되지만, 산화막(11B)을 환원하는 처리와 처리 용기에 TMA의 원료 가스를 공급하는 처리를 연속적으로 행하는 면에서도 1개의 처리 용기 내에서 행하는 것이 바람직하다.
또한, 여기서는, ALD법으로 AlO막(14)을 성막하는 공정이, 도 3의 (A)에 도시하는 바와 같이 TMA의 원료 가스를 절연막(12)의 표면에 흡착시켜 TMA막(14A)을 제작하는 공정 S104A부터 시작되는 형태에 대해서 설명하지만, 공정 S104A 전에, 공정 S104C와 마찬가지로 도전막(11)의 표면을 환원하는 공정을 행해도 된다.
이상과 같이, 공정 S104A 및 S104B를 반복해서 행하면서, 반복 횟수가 미리 정한 횟수(1회 또는 복수회)에 도달했을 때, 공정 S104B 후에 공정 S104C를 행함으로써, 도 2의 (D)에 도시하는 AlO막(14)을 제작할 수 있다.
이때, 공정 S104B 후에 공정 S104C를 행함으로써, 도전막(11)의 표면에 산화막(11B)(도 3의 (B) 참조)이 형성되어 있어도, 공정 S104C에서 환원할 수 있다. 이 때문에, 그 후에 도 3의 (D)에 도시하는 바와 같이 공정 S104A를 행할 때, 제2 영역(A2)에 선택적으로 TMA막(14C)을 흡착시키기 위한 선택성을 강화할 수 있다. TMA막(14C)은, 그 후에 도 3의 (B)에 도시하는 공정 S104B에서 산화되어 AlO막(14B)(도 3의 (C) 참조)으로 되고, AlO막(14B)을 반복해서 제작함으로써, AlO막(14B)(도 3의 (C) 참조)의 막 두께가 두꺼워져, 도 2의 (D)에 도시하는 AlO막(14)이 얻어진다. 이와 같이, 공정 S104B 후에 공정 S104C를 행함으로써, 제2 영역(A2)에 선택적으로 AlO막(14)을 형성할 때의 선택성을 강화할 수 있다.
따라서, SAM(13)을 이용해서 원하는 영역에 AlO막(14)을 선택적으로 형성할 때의 선택성을 강화할 수 있는 성막 방법을 제공할 수 있다.
또한, 이상에서는, 제2 영역(A2)의 절연막(12) 상에 제2 금속 산화막으로서 AlO막(14)을 형성하는 형태에 대해서 설명했지만, AlO막(14) 대신에 하프니아(HfO2)막 또는 지르코니아(ZrO2)막을 형성해도 된다.
하프니아(산화하프늄/HfO2)막을 형성할 때는, 제2 금속을 함유하는 전구체 가스로서, 테트라키스(디메틸아미노)하프늄, 테트라키스(디에틸아미노)하프늄, 또는 테트라키스(에틸메틸아미노)하프늄을 사용하면 된다. 지르코니아(이산화지르코늄/ZrO2)막을 형성할 때는, 제2 금속을 함유하는 전구체 가스로서, 테트라키스(디메틸아미노)지르코늄, 테트라키스(디에틸아미노)지르코늄, 또는 테트라키스(에틸메틸아미노)지르코늄을 사용하면 된다.
또한, 제2 영역(A2)에 선택적으로 AlO막(14)을 형성할 때의 선택성을 강화할 수 있기 때문에, 스루풋을 향상시킬 수 있어, 생산성이 높은 반도체 제조 프로세스를 실현하는 성막 방법을 제공할 수 있다.
또한, 이상에서는, 공정 S101부터 공정 S105의 처리를 모두 동일한 처리 용기에서 행하는 형태에 대해서 설명했지만, 공정 S102의 환원 처리, 공정 S103의 SAM(13)의 형성 처리, 공정 S104의 AlO의 성막, 및 공정 S105의 SAM(13)의 제거 처리는, 모두 성막 장치의 다른 처리 용기에서 행해도 된다. 예를 들어, 각 공정에서의 가열 온도 등의 처리 조건을 독립적으로 설정하고 싶은 경우에 유용하다.
또한, 공정 S103의 SAM(13)의 형성 처리, 및 공정 S105의 SAM(13)의 제거 처리를 동일한 처리 용기에서 행하고, 공정 S102의 환원 처리와, 공정 S104의 AlO의 성막은 다른 처리 용기에서 행하도록 해도 된다. 예를 들어, 공정 S102의 환원 처리를 웨트 프로세스에서 행하는 경우에 유용하다. 또한, 공정 S104의 AlO의 성막을 독립된 처리 용기에서 행하고 싶은 경우에 유용하다. 또한, 공정 S102의 환원 처리와, 공정 S104C의 환원 처리가 마찬가지의 처리일 경우에는, 공정 S102의 환원 처리와, 공정 S104의 AlO의 성막을 동일한 처리 용기에서 행해도 된다.
또한, 공정 S101의 준비와, 공정 S102의 환원 처리는, 동일한 처리 용기에서 행하게 된다.
<성막 시스템>
이어서, 본 개시의 일 실시 형태에 따른 성막 방법을 실시하기 위한 시스템에 대해서 설명한다.
본 개시의 일 실시 형태에 따른 성막 방법은, 뱃치 장치, 매엽 장치, 세미 뱃치 장치의 어느 형태이어도 된다. 단, 상기 각각의 스텝에서 최적의 온도가 다른 경우가 있고, 또한 기판의 표면이 산화해서 표면 상태가 변화했을 때 각 스텝의 실시에 지장을 초래하는 경우가 있다. 그러한 점을 고려하면, 각 스텝을 최적의 온도로 설정하기 쉽고 또한 모든 스텝을 진공 중에서 행할 수 있는 멀티 챔버 타입의 매엽식 성막 시스템이 적합하다.
이하, 이러한 멀티 챔버 타입의 매엽식 성막 시스템에 대해서 설명한다.
도 4는, 일 실시 형태에 따른 성막 방법을 실시하기 위한 성막 시스템의 일례를 도시하는 모식도이다. 여기에서는 특별히 언급하지 않는 한, 기판(10)에 대하여 처리를 행하는 경우에 대해서 설명한다.
도 4에 도시한 바와 같이, 성막 시스템(100)은, 산화 환원 처리 장치(200), SAM 형성 장치(300), 성막 장치(400), 플라스마 처리 장치(500)를 갖고 있다. 이들 장치는, 평면 형상이 칠각형을 이루는 진공 반송실(101)의 4개의 벽부에 각각 게이트 밸브(G)를 통해서 접속되어 있다. 진공 반송실(101) 내는, 진공 펌프에 의해 배기되어 소정의 진공도로 유지된다. 즉, 성막 시스템(100)은, 멀티 챔버 타입의 진공 처리 시스템이며, 상술한 성막 방법을, 진공을 깨지 않고 연속해서 행할 수 있는 것이다.
산화 환원 처리 장치(200)는, 일례로서, 기판(10)(도 2의 (A) 참조)에 대한 환원 처리를 행하는 처리 장치이다.
SAM 형성 장치(300)는, 일례로서, 기판(10)(도 2의 (C) 참조)의 SAM(13)을 형성하기 위해서, 티올계의 유기 화합물의 가스를 공급하여, SAM(13)을 선택적으로 형성하는 장치이다.
성막 장치(400)는, 일례로서, 기판(10)(도 2의 (D) 참조)의 AlO막(14)을 ALD법에 의해 성막하는 장치이다.
플라스마 처리 장치(500)는, 일례로서, SAM(13)을 에칭 제거하는 처리를 행하기 위한 것이다.
진공 반송실(101)의 다른 3개의 벽부에는 3개의 로드 로크실(102)이 게이트 밸브(G1)를 통해서 접속되어 있다. 로드 로크실(102)을 사이에 두고 진공 반송실(101)의 반대측에는 대기 반송실(103)이 마련되어 있다. 3개의 로드 로크실(102)은, 게이트 밸브(G2)를 통해서 대기 반송실(103)에 접속되어 있다. 로드 로크실(102)은, 대기 반송실(103)과 진공 반송실(101)의 사이에서 기판(10)을 반송할 때, 대기압과 진공의 사이에서 압력을 제어하기 위해 마련되어 있다.
대기 반송실(103)의 로드 로크실(102)의 설치 벽부와는 반대측의 벽부에는 기판(10)을 수용하는 캐리어(FOUP 등)(C)를 설치하는 3개의 캐리어 설치 포트(105)를 갖고 있다. 또한, 대기 반송실(103)의 측벽에는, 기판(10)의 얼라인먼트를 행하는 얼라인먼트 챔버(104)가 마련되어 있다. 대기 반송실(103) 내에는 청정 공기의 다운 플로우가 형성되도록 되어 있다.
진공 반송실(101) 내에는, 제1 반송 기구(106)가 마련되어 있다. 제1 반송 기구(106)는, 산화 환원 처리 장치(200), SAM 형성 장치(300), 성막 장치(400), 플라스마 처리 장치(500), 로드 로크실(102)에 대하여 기판(10)을 반송한다. 제1 반송 기구(106)는, 독립적으로 이동 가능한 2개의 반송 암(107a, 107b)을 갖고 있다.
대기 반송실(103) 내에는, 제2 반송 기구(108)가 마련되어 있다. 제2 반송 기구(108)는, 캐리어(C), 로드 로크실(102), 얼라인먼트 챔버(104)에 대하여 기판(10)을 반송하도록 되어 있다.
성막 시스템(100)은, 전체 제어부(110)를 갖고 있다. 전체 제어부(110)는, CPU(컴퓨터)를 갖는 주제어부와, 입력 장치(키보드, 마우스 등)와, 출력 장치(프린터 등)와, 표시 장치(디스플레이 등)와, 기억 장치(기억 매체)를 갖고 있다. 주제어부는, 산화 환원 처리 장치(200), SAM 형성 장치(300), 성막 장치(400), 플라스마 처리 장치(500), 진공 반송실(101) 및 로드 로크실(102)의 각 구성부 등을 제어한다. 전체 제어부(110)의 주제어부는, 예를 들어 기억 장치에 내장된 기억 매체 또는 기억 장치에 세트된 기억 매체에 기억된 처리 레시피에 기초하여, 성막 시스템(100)에, 실시 형태의 성막 방법을 행하기 위한 동작을 실행시킨다. 또한, 각 장치에 하위의 제어부를 마련하고, 전체 제어부(110)를 상위의 제어부로서 구성해도 된다.
이상과 같이 구성되는 성막 시스템에서는, 제2 반송 기구(108)에 의해 대기 반송실(103)에 접속된 캐리어(C)로부터 기판(10)을 취출하여, 얼라인먼트 챔버(104)를 경유한 후에, 어느 것의 로드 로크실(102) 내에 반입한다. 그리고, 로드 로크실(102) 내를 진공 배기한 후, 제1 반송 기구(106)에 의해, 기판(10)을 산화 환원 처리 장치(200), SAM 형성 장치(300), 성막 장치(400) 및 플라스마 처리 장치(500)에 반송하여, 실시 형태의 성막 처리를 행한다. 그 후, 필요에 따라, 플라스마 처리 장치(500)에 의해 SAM(13)의 에칭 제거를 행한다.
이상의 처리가 종료된 후, 제1 반송 기구(106)에 의해 기판(10)을 어느 것의 로드 로크실(102)에 반송하고, 제2 반송 기구(108)에 의해 로드 로크실(102) 내의 기판(10)을 캐리어(C)로 되돌린다.
이상과 같은 처리를, 복수의 기판(10)에 대해서 동시 병행적으로 행하여, 소정 매수의 기판(10)의 선택적 성막 처리가 완료된다.
이들 각 처리를 독립된 매엽 장치에서 행하므로, 각 처리에 최적인 온도로 설정하기 쉽고, 또한 일련의 처리를 진공을 깨지 않고 행할 수 있으므로, 처리의 과정에서의 산화를 억제할 수 있다.
<성막 처리 및 SAM 형성 장치의 예>
이어서, 산화 환원 처리 장치(200), 성막 장치(400)와 같은 성막 장치, 및 SAM 형성 장치(300)의 일례에 대해서 설명한다.
도 5는, 성막 장치 및 SAM 형성 장치로서 사용할 수 있는 처리 장치의 일례를 도시하는 단면도이다.
산화 환원 처리 장치(200), 성막 장치(400) 및 SAM 형성 장치(300)는, 마찬가지의 구성을 갖는 장치로 할 수 있으며, 예를 들어 도 5에 도시한 바와 같은 처리 장치(600)로서 구성할 수 있다.
처리 장치(600)는, 기밀하게 구성된 대략 원통상의 처리 용기(챔버)(601)를 갖고 있으며, 그 안에는 기판(10)을 수평하게 지지하기 위한 서셉터(602)가, 처리 용기(601)의 저벽 중앙에 마련된 원통상의 지지 부재(603)에 의해 지지되어 배치되어 있다. 서셉터(602)에는 히터(605)가 매립되어 있으며, 이 히터(605)는, 히터 전원(606)으로부터 급전됨으로써 기판(10)을 소정의 온도로 가열한다. 또한, 서셉터(602)에는, 기판(10)을 지지해서 승강시키기 위한 복수의 승강 핀(도시하지 않음)이 서셉터(602)의 표면에 대하여 돌출 함몰 가능하게 마련되어 있다.
처리 용기(601)의 천장벽에는, 성막 또는 SAM 형성을 위한 처리 가스를 처리 용기(601) 내에 샤워 형상으로 도입하기 위한 샤워 헤드(610)가 서셉터(602)와 대향하도록 마련되어 있다. 샤워 헤드(610)는, 후술하는 가스 공급 기구(630)로부터 공급된 가스를 처리 용기(601) 내에 토출하기 위한 것이며, 그 상부에는 가스를 도입하기 위한 가스 도입구(611)가 형성되어 있다. 또한, 샤워 헤드(610)의 내부에는 가스 확산 공간(612)이 형성되어 있고, 샤워 헤드(610)의 저면에는 가스 확산 공간(612)에 연통한 다수의 가스 토출 구멍(613)이 형성되어 있다.
처리 용기(601)의 저벽에는, 하방을 향해서 돌출되는 배기실(621)이 마련되어 있다. 배기실(621)의 측면에는 배기 배관(622)이 접속되어 있고, 이 배기 배관(622)에는 진공 펌프나 압력 제어 밸브 등을 갖는 배기 장치(623)가 접속되어 있다. 그리고, 이 배기 장치(623)를 작동시킴으로써 처리 용기(601) 내를 소정의 감압(진공) 상태로 하는 것이 가능하게 되어 있다.
처리 용기(601)의 측벽에는, 진공 반송실(101)과의 사이에서 기판(10)을 반출입하기 위한 반입출구(627)가 마련되어 있고, 반입출구(627)는 게이트 밸브(G)에 의해 개폐되도록 되어 있다.
가스 공급 기구(630)는, AlO막(14)의 성막, 또는 SAM(13)의 형성에 필요한 가스의 공급원과, 각 공급원으로부터 가스를 공급하는 개별 배관, 개별 배관에 마련된 개폐 밸브 및 가스의 유량 제어를 행하는 매스 플로우 컨트롤러와 같은 유량 제어기 등을 갖고, 또한 개별 배관으로부터의 가스를 가스 도입구(611)를 통해서 샤워 헤드(610)에 유도하는 가스 공급 배관(635)을 갖고 있다.
가스 공급 기구(630)는, 처리 장치(600)가 AlO막(14)의 ALD 성막을 행하는 경우, 유기 화합물 원료 가스와 반응 가스를 샤워 헤드(610)에 공급한다. 또한, 가스 공급 기구(630)는, 처리 장치(600)가 SAM의 형성을 행하는 경우, SAM을 형성하기 위한 화합물의 증기를 처리 용기(601) 내에 공급한다. 또한, 가스 공급 기구(630)는, 퍼지 가스나 전열 가스로서 N2 가스나 Ar 가스 등의 불활성 가스도 공급할 수 있도록 구성되어 있다.
이렇게 구성되는 처리 장치(600)에서는, 게이트 밸브(G)를 개방으로 해서 반입출구(627)로부터 기판(10)을 처리 용기(601) 내에 반입하여, 서셉터(602) 상에 적재한다. 서셉터(602)는, 히터(605)에 의해 소정 온도로 가열되어 있어, 처리 용기(601) 내에 불활성 가스가 도입됨으로써 기판(10)이 가열된다. 그리고, 배기 장치(623)의 진공 펌프에 의해 처리 용기(601) 내를 배기하여, 처리 용기(601) 내의 압력을 소정 압력으로 조정한다.
이어서, 처리 장치(600)가 AlO막(14)의 ALD 성막을 행하는 경우, 가스 공급 기구(630)로부터, 유기 화합물 원료 가스와 반응 가스를, 처리 용기(601) 내의 퍼지를 사이에 끼워 교대로 처리 용기(601) 내에 공급한다. 또한, 처리 장치(600)가 SAM의 형성을 행하는 경우, 가스 공급 기구(630)로부터, SAM을 형성하기 위한 유기 화합물의 증기를 처리 용기(601) 내에 공급한다.
이상, 본 개시에 따른 성막 방법의 실시 형태에 대해서 설명했지만, 본 개시는 상기 실시 형태 등에 한정되지 않는다. 특허 청구 범위에 기재된 범주 내에서, 각종 변경, 수정, 치환, 부가, 삭제 및 조합이 가능하다. 그것들에 대해서도 당연히 본 개시의 기술적 범위에 속한다.

Claims (12)

  1. 제1 영역의 표면에 형성된 제1 금속제의 금속층과, 제2 영역의 표면에 형성된 절연층을 갖는 기판을 준비하는 공정과,
    자기 조직화 막의 원료 가스를 공급하여, 상기 금속층의 표면에 자기 조직화 막을 형성하는 공정과,
    상기 자기 조직화 막을 형성한 후에, 제2 금속을 함유하는 전구체 가스의 공급과, 산화 가스의 공급을 반복하여, 원자층 퇴적법에 의해 상기 절연층 상에 제2 금속의 산화막을 형성하는 공정과,
    상기 산화 가스의 공급 후이며 상기 전구체 가스의 공급 전에, 환원 가스를 공급해서 상기 제1 금속의 표면에 형성된 상기 제1 금속의 산화막을 환원하는 공정
    을 포함하는, 성막 방법.
  2. 제1항에 있어서, 상기 제1 금속의 산화막을 환원하는 공정은, 상기 전구체 가스의 공급과, 상기 산화 가스의 공급을 복수회 반복했을 때, 상기 산화 가스의 공급 후 또는 상기 전구체 가스의 공급 전의 적어도 하나에서 행하는 공정인, 성막 방법.
  3. 제1항 또는 제2항에 있어서, 상기 제2 금속은, 알루미늄(Al), 하프늄(Hf) 또는 지르코늄(Zr)인, 성막 방법.
  4. 제3항에 있어서, 상기 제2 금속은 알루미늄(Al)이며, 상기 전구체 가스는, 트리메틸알루미늄인, 성막 방법.
  5. 제3항에 있어서, 상기 제2 금속은 하프늄(Hf)이며, 상기 전구체 가스는, 테트라키스(디메틸아미노)하프늄, 테트라키스(디에틸아미노)하프늄 또는 테트라키스(에틸메틸아미노)하프늄인, 성막 방법.
  6. 제3항에 있어서, 상기 제2 금속은 지르코늄(Zr)이며, 상기 전구체 가스는, 테트라키스(디메틸아미노)지르코늄, 테트라키스(디에틸아미노)지르코늄 또는 테트라키스(에틸메틸아미노)지르코늄인, 성막 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 산화 가스는, 수증기(H2O), 과산화수소(H2O2), 산소(O2), 또는 오존(O3)인, 성막 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 환원 가스는, 알코올 또는 수소(H2)인, 성막 방법.
  9. 제8항에 있어서, 상기 환원 가스의 알코올은, 이소프로필알코올(IPA)인, 성막 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 자기 조직화 막의 원료 가스는, 티올계의 자기 조직화 막의 원료 가스인, 성막 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서, 상기 제2 금속의 산화막을 형성하는 공정 후에, 상기 금속층의 표면으로부터 상기 자기 조직화 막을 제거하는 공정을 더 포함하는, 성막 방법.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서, 상기 자기 조직화 막을 형성하는 공정 전에, 상기 기판에 환원 가스를 공급하여, 상기 제1 금속의 표면에 형성된, 상기 제1 금속의 산화막을 제거하는 공정을 더 포함하는, 성막 방법.
KR1020210009884A 2020-02-06 2021-01-25 성막 방법 KR102571409B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2020-019137 2020-02-06
JP2020019137A JP7353200B2 (ja) 2020-02-06 2020-02-06 成膜方法

Publications (2)

Publication Number Publication Date
KR20210100532A true KR20210100532A (ko) 2021-08-17
KR102571409B1 KR102571409B1 (ko) 2023-08-28

Family

ID=77178150

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210009884A KR102571409B1 (ko) 2020-02-06 2021-01-25 성막 방법

Country Status (3)

Country Link
US (1) US11598001B2 (ko)
JP (1) JP7353200B2 (ko)
KR (1) KR102571409B1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2023107638A (ja) * 2022-01-24 2023-08-03 東京応化工業株式会社 導電体表面用撥水剤、導電体表面の撥水化方法、導電体表面を有する領域を選択的に撥水化する方法、表面処理方法、及び基板表面の領域選択的製膜方法
JP7315744B1 (ja) * 2022-03-14 2023-07-26 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2023160629A (ja) * 2022-04-22 2023-11-02 東京エレクトロン株式会社 成膜方法及び基板処理装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007533156A (ja) 2004-04-19 2007-11-15 フリースケール セミコンダクター インコーポレイテッド 金属からなるゲート電極を形成するための方法
US20170207193A1 (en) * 2014-07-20 2017-07-20 X-Celeprint Limited Apparatus and methods for micro-transfer-printing
JP2017212320A (ja) * 2016-05-25 2017-11-30 東京エレクトロン株式会社 酸化チタン膜の形成方法および形成システム、ならびにコンタクト構造の形成方法
US20190295870A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Substrate processing tool with integrated metrology and method of using

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020182385A1 (en) * 2001-05-29 2002-12-05 Rensselaer Polytechnic Institute Atomic layer passivation
WO2010120816A2 (en) * 2009-04-13 2010-10-21 Applied Materials, Inc. Metallized fibers for electrochemical energy storage
US8952188B2 (en) 2009-10-23 2015-02-10 Air Products And Chemicals, Inc. Group 4 metal precursors for metal-containing films
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
US9112003B2 (en) * 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9515166B2 (en) * 2014-04-10 2016-12-06 Applied Materials, Inc. Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
US9646883B2 (en) * 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
US10204782B2 (en) * 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10068764B2 (en) * 2016-09-13 2018-09-04 Tokyo Electron Limited Selective metal oxide deposition using a self-assembled monolayer surface pretreatment
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10900120B2 (en) * 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
TWI772459B (zh) * 2017-07-14 2022-08-01 荷蘭商Asm Ip控股公司 用於製備自組裝單層的方法
US10892161B2 (en) * 2017-11-14 2021-01-12 Applied Materials, Inc. Enhanced selective deposition process
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11152268B2 (en) * 2018-03-20 2021-10-19 Tokyo Electron Limited Platform and method of operating for integrated end-to-end area-selective deposition process
JP7101551B2 (ja) * 2018-07-02 2022-07-15 東京エレクトロン株式会社 選択的に対象膜を形成する方法およびシステム
JP7247788B2 (ja) 2018-07-09 2023-03-29 Jsr株式会社 基板処理方法、基板処理システム及び自己組織化材料

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007533156A (ja) 2004-04-19 2007-11-15 フリースケール セミコンダクター インコーポレイテッド 金属からなるゲート電極を形成するための方法
US20170207193A1 (en) * 2014-07-20 2017-07-20 X-Celeprint Limited Apparatus and methods for micro-transfer-printing
JP2017212320A (ja) * 2016-05-25 2017-11-30 東京エレクトロン株式会社 酸化チタン膜の形成方法および形成システム、ならびにコンタクト構造の形成方法
US20190295870A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Substrate processing tool with integrated metrology and method of using

Also Published As

Publication number Publication date
KR102571409B1 (ko) 2023-08-28
JP7353200B2 (ja) 2023-09-29
JP2021125607A (ja) 2021-08-30
US11598001B2 (en) 2023-03-07
US20210246547A1 (en) 2021-08-12

Similar Documents

Publication Publication Date Title
KR102571409B1 (ko) 성막 방법
CN110581067B (zh) 蚀刻方法及蚀刻装置
KR102293637B1 (ko) 선택적으로 막을 형성하는 방법 및 시스템
JP5513767B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置および半導体装置
US9190281B2 (en) Method of manufacturing semiconductor device
CN110783188B (zh) 蚀刻方法和蚀刻装置
JP7486321B2 (ja) 成膜方法
JP2019062142A (ja) 選択成膜方法および半導体装置の製造方法
US10535528B2 (en) Method of forming titanium oxide film and method of forming hard mask
KR102589043B1 (ko) 성막 방법
KR102497066B1 (ko) 성막 방법
US20210087691A1 (en) Film forming method
US11830741B2 (en) Method for forming film
KR20170052481A (ko) 패턴 형성 방법
WO2024070696A1 (ja) 成膜方法及び成膜装置
KR102582899B1 (ko) 성막 방법
WO2021060109A1 (ja) 成膜方法
KR20240022988A (ko) 성막 방법 및 성막 장치
JP2024001745A (ja) 成膜方法及び成膜装置
KR20210042810A (ko) 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
JP6108530B2 (ja) 半導体装置の製造方法、プログラムおよび基板処理装置
JP2010147157A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant