KR20190128638A - 기판 에지 상의 플라즈마 밀도 제어 - Google Patents

기판 에지 상의 플라즈마 밀도 제어 Download PDF

Info

Publication number
KR20190128638A
KR20190128638A KR1020197025994A KR20197025994A KR20190128638A KR 20190128638 A KR20190128638 A KR 20190128638A KR 1020197025994 A KR1020197025994 A KR 1020197025994A KR 20197025994 A KR20197025994 A KR 20197025994A KR 20190128638 A KR20190128638 A KR 20190128638A
Authority
KR
South Korea
Prior art keywords
edge ring
substrate support
chamber body
processing apparatus
plasma processing
Prior art date
Application number
KR1020197025994A
Other languages
English (en)
Inventor
바스카 쿠마르
프라샨스 코스누어
시타르트 바티아
아눕 쿠마르 싱
비베크 바라트 샤
가네쉬 발라수브라마니안
창공 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190128638A publication Critical patent/KR20190128638A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32385Treating the edge of the workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

본 개시내용의 구현들은 일반적으로, 플라즈마 프로세싱 챔버에서 기판들 상의 입자 오염을 감소시키기 위한 장치에 관한 것이다. 감소된 입자 오염을 위한 장치는 챔버 바디, 및 챔버 바디에 커플링된 덮개를 포함한다. 챔버 바디와 덮개는 챔버 바디와 덮개 사이에 프로세싱 볼륨을 정의한다. 장치는 또한, 프로세싱 볼륨에 배치된 기판 지지부 및 에지 링을 포함한다. 에지 링은, 기판 위에 배치된 내측 립; 내측 립에 연결된 상단 표면; 상단 표면과 대향하고, 기판 지지부로부터 반경방향 외측으로 연장되는 바닥 표면; 및 바닥 표면과 내측 립 사이의 내측 스텝을 포함한다. 플라즈마가 디-에너자이징될 때, 프로세싱되는 기판 상에 입자들을 증착하는 것을 방지하기 위해, 에지 링은 고 플라즈마 밀도 구역을 기판의 에지 영역으로부터 멀어지게 시프팅한다.

Description

기판 에지 상의 플라즈마 밀도 제어
[0001] 본 개시내용의 구현들은 일반적으로, 플라즈마 프로세싱 챔버에서 기판들 상의 입자 오염을 감소시키기 위한 장치에 관한 것이다.
[0002] 플라즈마-강화 화학 기상 증착(PECVD) 프로세스는, 적어도 하나의 전구체 가스 또는 증기에 전자기 에너지가 인가되어, 전구체를 반응성 플라즈마로 변환시키는 화학 프로세스이다. PECVD를 사용하면, 막을 형성하는 데 요구되는 온도의 감소, 막의 형성 레이트의 증가, 형성되는 층들의 특성들의 향상을 포함하는(그러나 이에 제한되지는 않음) 다수의 이점들이 있다. 플라즈마에 의해 이온화된 가스 또는 증기의 입자들이 플라즈마 시스를 통해 확산되고, 기판 상에 흡수되어, 박막 층을 형성한다. 플라즈마는 프로세싱 챔버 내부에서, 즉 인-시튜(in-situ)로 생성될 수 있거나, 또는 프로세싱 챔버로부터 원격으로 포지셔닝된 원격 플라즈마 생성기에서 생성될 수 있다. 이 프로세스는, 고-품질 및 고-성능 반도체 디바이스들을 생산하기 위해, 기판들 상에 재료들을 증착하는 데 있어서 널리 사용된다.
[0003] 플라즈마 프로세스들, 이를테면 PECVD 동안의 입자 오염은, 이들 반도체 디바이스들의 생산 동안의 박막들의 증착 및 에칭에 대한 주요한 장애이다. 따라서, 플라즈마 프로세싱 챔버에서 입자 오염을 감소시키기 위한 개선된 장치가 필요하다.
[0004] 본 개시내용의 구현들은 일반적으로, 플라즈마 프로세싱 챔버에서 기판들 상의 입자 오염을 감소시키기 위한 장치에 관한 것이다. 일 구현에서, 감소된 입자 오염을 위한 장치는 챔버 바디, 및 챔버 바디에 커플링된 덮개를 포함한다. 챔버 바디와 덮개는 챔버 바디와 덮개 사이에 프로세싱 볼륨을 정의한다. 장치는 또한, 프로세싱 볼륨에 배치된 기판 지지부 및 에지 링을 포함한다. 에지 링은, 기판 위에 배치된 내측 립(lip); 내측 립에 연결된 상단 표면; 상단 표면과 대향하고, 기판 지지부로부터 반경방향 외측으로 연장되는 바닥 표면; 및 바닥 표면과 내측 립 사이의 내측 스텝(step)을 포함한다.
[0005] 다른 구현에서, 플라즈마 프로세싱 장치가 개시되고, 그 플라즈마 프로세싱 장치는 챔버 바디, 및 챔버 바디에 커플링된 덮개를 포함한다. 챔버 바디와 덮개는 챔버 바디와 덮개 사이에 프로세싱 볼륨을 정의한다. 플라즈마 프로세싱 장치는 프로세싱 볼륨에 배치된 기판 지지부, 및 기판 지지부 상에 배치된 에지 링을 더 포함한다. 에지 링은 반경방향 내측으로 연장되는 내측 립, 및 내측 립에 연결된 상단 표면을 포함한다. 에지 링의 상단 표면은 경사져 있다. 에지 링은 또한, 상단 표면과 대향하고 기판 지지부로부터 반경방향 외측으로 연장되는 바닥 표면, 및 바닥 표면과 내측 립 사이에서 기판 지지부 상에 배치된 내측 스텝을 포함한다.
[0006] 또 다른 구현에서, 플라즈마 프로세싱 장치가 개시되고, 그 플라즈마 프로세싱 장치는 챔버 바디, 및 챔버 바디에 커플링된 덮개를 포함한다. 챔버 바디와 덮개는 챔버 바디와 덮개 사이에 프로세싱 볼륨을 정의한다. 플라즈마 프로세싱 장치는 또한, 프로세싱 볼륨에 배치된 기판 지지부, 및 기판 지지부 상에 배치된 에지 링을 포함한다. 에지 링은 반경방향 내측으로 연장되는 내측 립, 및 내측 립에 연결된 상단 표면을 포함한다. 에지 링의 상단 표면은 챔퍼링(chamfer)된다. 에지 링은 또한, 상단 표면과 대향하고 기판 지지부로부터 반경방향 외측으로 연장되는 바닥 표면, 및 바닥 표면과 내측 립 사이에서 기판 지지부 상에 배치된 내측 스텝을 포함한다.
[0007] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 구현들을 참조로 하여 이루어질 수 있는데, 이러한 구현들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 예시적인 구현들을 예시하는 것이므로 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0008] 도 1은 본원에서 설명되는 일 구현에 따른 플라즈마 프로세싱 챔버의 개략적인 단면도를 도시한다.
[0009] 도 2는 본원에서 설명되는 일 구현에 따른 에지 링의 부분적인 단면도를 도시한다.
[0010] 도 3은 본원에서 설명되는 다른 구현에 따른 에지 링의 부분적인 단면도를 도시한다.
[0011] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 도면들은 실척대로 도시된 것이 아니고, 명확성을 위해 간략화될 수 있다. 일 구현의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 구현들에 유익하게 포함될 수 있다는 것이 고려된다.
[0012] 도 1은 본원에서 설명되는 일 구현에 따른 플라즈마 프로세싱 챔버(100)의 개략적인 단면도를 도시한다. 프로세싱 챔버(100)는 플라즈마 강화 화학 기상 증착(PECVD) 챔버 또는 다른 플라즈마 강화 프로세싱 챔버일 수 있다. 본원에서 설명되는 구현들로부터 이익을 얻을 수 있는 예시적인 프로세싱 챔버는, 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 PRODUCER® 시리즈들의 PECVD 가능 챔버들이다. 다른 제조자들로부터의 다른 유사하게 장비된 프로세싱 챔버들이 또한, 본원에서 설명되는 구현들로부터 이익을 얻을 수 있는 것으로 고려된다. 프로세싱 챔버(100)는 챔버 바디(102), 챔버 바디(102) 내부에 배치된 기판 지지부(104), 및 챔버 바디(102)에 커플링되고 내부 프로세싱 볼륨(120) 내에 기판 지지부(104)를 밀폐하는 덮개 조립체(106)를 특징으로 한다. 기판들(154)은 개구(126)를 통해 프로세싱 볼륨(120)에 제공된다.
[0013] 전극(108)이 챔버 바디(102)에 인접하게 그리고 덮개 조립체(106)의 다른 컴포넌트들로부터 챔버 바디(102)를 분리하도록 배치될 수 있다. 전극(108)은 덮개 조립체(106)의 일부일 수 있거나, 또는 별개의 측벽 전극일 수 있다. 전극(108)은 환상 또는 링-형 부재일 수 있고, 링 전극일 수 있다. 전극(108)은 프로세싱 볼륨(120)을 둘러싸는 프로세싱 챔버(100)의 둘레 주위의 연속적인 루프일 수 있거나, 또는 원하는 경우, 선택된 위치들에서 불연속적일 수 있다. 전극(108)은 또한, 천공 전극, 이를테면 천공 링 또는 메시(mesh) 전극일 수 있다. 전극(108)은 또한, 플레이트 전극, 예컨대 이차 가스 분배기일 수 있다.
[0014] 아이솔레이터(110)는 전극(108)과 접촉하고, 그리고 가스 분배기(112) 및 챔버 바디(102)로부터 전극(108)을 전기적으로 그리고 열적으로 분리한다. 아이솔레이터(110)는 하나 이상의 유전체 재료들로 제조될 수 있거나, 또는 하나 이상의 유전체 재료들을 함유할 수 있다. 예시적인 유전체 재료들은 하나 이상의 세라믹들, 금속 산화물들, 금속 질화물들, 금속 옥시나이트라이드들, 실리콘 산화물들, 실리케이트들 또는 이들의 임의의 조합일 수 있거나, 또는 하나 이상의 세라믹들, 금속 산화물들, 금속 질화물들, 금속 옥시나이트라이드들, 실리콘 산화물들, 실리케이트들 또는 이들의 임의의 조합을 포함할 수 있다. 예컨대, 아이솔레이터(110)는 알루미늄 산화물, 알루미늄 질화물, 알루미늄 옥시나이트라이드 또는 이들의 임의의 조합을 함유할 수 있거나, 또는 알루미늄 산화물, 알루미늄 질화물, 알루미늄 옥시나이트라이드 또는 이들의 임의의 조합으로 형성될 수 있다. 가스 분배기(112)는 프로세싱 볼륨(120) 내에 프로세스 가스가 진입할 수 있게 하기 위한 개구들(118)을 특징으로 한다. 프로세스 가스들은 도관(114)을 통해 프로세싱 챔버(100)에 공급될 수 있고, 프로세스 가스들은 개구들(118)을 통해 유동하기 전에 가스 혼합 구역(116)에 진입할 수 있다. 가스 분배기(112)는 전력 소스(142), 이를테면 RF 생성기에 커플링될 수 있다. DC 전력, 펄스형 DC 전력, 및 펄스형 RF 전력이 또한 사용될 수 있다.
[0015] 전극(108)은 프로세싱 챔버(100)의 접지 경로를 제어하는 튜닝 회로(128)에 커플링될 수 있다. 튜닝 회로(128)는 전자 센서(130) 및 전자 제어기(134)를 포함하며, 그 전자 제어기(134)는 가변 캐패시터일 수 있다. 튜닝 회로(128)는 하나 이상의 인덕터들(132)을 포함하는 LLC 회로일 수 있다. 튜닝 회로(128)는 전자 제어기(134)와 병렬인 제1 인덕터(132A), 및 전자 제어기(134)와 직렬인 제2 인덕터(132B)를 특징으로 한다. 전자 센서(130)는 전압 또는 전류 센서일 수 있고, 전자 제어기(134)에 커플링되어, 프로세싱 볼륨(120) 내부의 플라즈마 조건들의 어느 정도의 폐쇄-루프 제어를 제공할 수 있다.
[0016] 제2 전극(122)이 기판 지지부(104)에 커플링될 수 있다. 제2 전극(122)은 기판 지지부(104) 내에 매립될 수 있거나, 또는 기판 지지부(104)의 표면에 커플링될 수 있다. 제2 전극(122)은 플레이트, 천공 플레이트, 메시, 와이어 스크린, 또는 임의의 다른 분산형 어레인지먼트(distributed arrangement)일 수 있다. 제2 전극(122)은 튜닝 전극일 수 있고, 그리고 기판 지지부(104)의 샤프트(144)에 배치된 도관(146)에 의해, 예컨대 선택된 저항, 이를테면 약 50 Ω을 갖는 케이블에 의해, 제2 튜닝 회로(136)에 커플링될 수 있다. 제2 튜닝 회로(136)는 제2 전자 센서(138) 및 제2 전자 제어기(140)를 가질 수 있으며, 그 제2 전자 제어기(140)는 제2 가변 캐패시터일 수 있다. 제2 전자 센서(138)는 전압 또는 전류 센서일 수 있고, 제2 전자 제어기(140)에 커플링되어, 프로세싱 볼륨(120) 내의 플라즈마 조건들에 대한 추가적인 제어를 제공할 수 있다.
[0017] 바이어스 전극 및/또는 정전 척킹 전극일 수 있는 제3 전극(124)이 기판 지지부(104)에 커플링될 수 있다. 제3 전극(124)은 전기 회로(180)에 포함된 필터(148)를 통해 제2 전력 소스(150)에 커플링될 수 있다. 필터(148)는 임피던스 정합 회로일 수 있다. 제2 전력 소스(150)는 DC 전력, 펄스형 DC 전력, RF 전력, 펄스형 RF 전력, 또는 이들의 임의의 조합일 수 있다.
[0018] 도 1의 덮개 조립체(106) 및 기판 지지부(104)는 플라즈마 또는 열 프로세싱을 위한 임의의 프로세싱 챔버와 함께 사용될 수 있다. 다른 제조자들로부터의 챔버들이 또한, 위에서 설명된 컴포넌트들과 함께 사용될 수 있다.
[0019] 기판 지지부(104)는 하나 이상의 금속성 또는 세라믹 재료들을 함유할 수 있거나, 또는 하나 이상의 금속성 또는 세라믹 재료들로 형성될 수 있다. 예시적인 금속성 또는 세라믹 재료들은 하나 이상의 금속들, 금속 산화물들, 금속 질화물들, 금속 옥시나이트라이드들 또는 이들의 임의의 조합일 수 있거나, 또는 하나 이상의 금속들, 금속 산화물들, 금속 질화물들, 금속 옥시나이트라이드들 또는 이들의 임의의 조합을 포함할 수 있다. 예컨대, 기판 지지부(104)는 알루미늄, 알루미늄 산화물, 알루미늄 질화물, 알루미늄 옥시나이트라이드 또는 이들의 임의의 조합을 함유할 수 있거나, 또는 알루미늄, 알루미늄 산화물, 알루미늄 질화물, 알루미늄 옥시나이트라이드 또는 이들의 임의의 조합으로 형성될 수 있다. 일 구현에서, 기판 지지부(104)의 표면은 프로세싱 동안 에지 링(160)을 지지하도록 구성될 수 있다.
[0020] 다른 구현에서, 에지 링(160)의 내측 직경은 기판(154)의 외측 직경보다 더 클 수 있다. 그러한 구현에서, 기판(154)을 기판 지지부(104)로 그리고 기판 지지부(104)로부터 이송할 때, 1개, 2개, 3개, 4개 이상의 홀더들(156)(도 1의 도면에서 1개의 홀더가 도시됨)이 에지 링(160)을 지지하도록 구성될 수 있다. 다수의 홀더들(156)이 서로 이격된 위치들에서 챔버 바디(102)의 내측 벽 상에 위치될 수 있다. 하나 이상의 실시예들에서, 기판 지지부(104)는 개구(126)와 정렬되도록 하강된다. 기판 지지부(104)가 개구(106) 쪽으로 하강되고 있을 때, 에지 링(160)은 홀더들(156)과 접촉하고, 홀더들(156)에 의해 지지된다. 기판 지지부(104)가 개구(126)와 정렬되면, 기판(154)을 프로세싱 챔버(100) 내에 도입하거나 또는 기판(154)을 프로세싱 챔버(100)로부터 제거하는 경우, 기판(154)은 개구(126)를 통해 기판 지지부(104)로 이송될 수 있거나 또는 기판 지지부(104)로부터 이송될 수 있다. 기판 지지부(104)가 개구(126)로부터 상승되고 있을 때, 기판 지지부(104)는 에지 링(160)과 접촉하고, 에지 링(160)을 지지하며, 그 에지 링(160)은 홀더들(156)로부터 리프팅된다. 프로세싱을 위한 포지션에 있게 되면, 기판 지지부(104)는 에지 링(160)의 지지를 유지한다.
[0021] 에지 링(160)은 하나 이상의 세라믹 재료들로 형성될 수 있고, 환상 형상을 가질 수 있다. 하나 이상의 예들에서, 기판 지지부(104) 및 에지 링(160) 각각은 독립적으로, 하나 이상의 세라믹 재료들을 포함한다. 에지 링(160)은, 일부 경우들에서는 기판 지지부(104) 바로 위에 놓이면서, 기판 지지부(104)와 맞물리도록 구성될 수 있다. 일 구현에서, 기판 지지부(104)는 기판 지지 표면의 둘레를 따라 형성된 환상 레지(ledge)를 가질 수 있다. 기판 지지부(104)가 프로세싱 포지션에 있을 때, 환상 레지는, 예컨대 에지 링(160)이 환상 레지 상에 놓인 상태로, 에지 링(160)에 커플링되고 에지 링(160)을 지지하도록 구성될 수 있다.
[0022] 에지 링(160)은 기판 지지부(104)로부터 반경방향 외측으로 연장되도록 사이즈가 설정될 수 있다. 따라서, 에지 링(160)의 외측 직경은 기판 지지부(104)의 외측 직경보다 더 클 수 있다. 따라서, 반경방향으로 기판 지지부(104)를 넘어서 연장되는 에지 링(160)의 바닥 표면의 부분은 홀더(156)와 맞물리도록 구성될 수 있다. 홀더(156)는 챔버 측벽으로부터 연장되는 연속적인 또는 불연속적인 환상 셸프(shelf), 또는 반경방향 내측으로 기판 지지부를 향하는 라이너(liner)일 수 있다. 일 구현에서, 홀더(156)는 프로세싱 챔버(100)의 주변 부분에서 프로세싱 챔버(100) 주위에 균등하게 또는 불균등하게 분배된 3개의 돌출부들일 수 있다. 다른 구현에서, 돌출부들은 프로세싱 챔버(100) 주변부 주위에서 균등하게, 불균등하게, 그리고/또는 대칭적으로 분배될 수 있는 3개 초과의 돌출부들일 수 있다. 홀더(156)는 에지 링(160)의 접촉 표면과 실질적으로 평행한 지지 표면을 가질 수 있다. 대안적으로, 홀더(156)는 에지 링의 접촉 표면과 평행하지 않은 지지 표면을 가질 수 있다. 예컨대, 일부 경우들에서, 홀더(156)는 홀더(156)와 에지 링(160) 사이의 접촉 면적을 감소시키기 위해 하향 경사 지지 표면을 가질 수 있다. 동작 시에, 홀더(156)는, 기판(154)이 프로세싱 챔버(100)로부터 제거되는 동안, 에지 링(160)을 기판(154)으로부터 떨어진 상태로 유지하도록 에지 링(160)과 맞물린다.
[0023] 에지 링(160)은 하나 이상의 세라믹 재료들을 함유할 수 있거나, 또는 하나 이상의 세라믹 재료들로 형성될 수 있다. 일 구현에서, 에지 링(160)은 알루미늄 질화물로 형성되거나, 또는 알루미늄 질화물을 함유한다. 에지 링(160)은 기판(154) 위에서 그리고 기판(154) 위로 연장되는 내측 립을 포함할 수 있다. 일 구현에서, 내측 립은 곡선형 또는 둥근 에지를 가질 수 있다. 다른 구현에서, 내측 립은 평탄한 수직 내측 벽을 가질 수 있다.
[0024] 일 구현에서, 에지 링(160)은 평탄한 상단 표면을 갖는 환상 링이다. 도 2의 구현에서, 에지 링(260)은 경사진 상단 표면(262)을 갖는 환상 링이다. 도 2는 본원에서 설명되는 일 구현에 따른 에지 링의 부분적인 단면도를 도시한다. 에지 링(260)은 프로세싱 챔버(100)에서 활용될 수 있다. 에지 링(260)은 경사진 상단 표면(262)을 갖는 환상 링이며, 그 경사진 상단 표면(262)은 내측 둘레 쪽으로 더 높아지고, 외측 둘레 쪽으로 더 낮아진다. 경사진 상단 표면(262)은 비스듬한(beveled) 표면일 수 있다. 다시 말하면, 경사진 상단 표면(262)은 반경방향 외측으로 높이가 감소된다. 에지 링(260)은 내측 립(264)을 포함한다. 경사진 상단 표면(262)은 내측 립(264)과 연결되고 내측 립(264)의 일부이다. 내측 립(264)은 에지 링(260)의 내측 둘레 쪽으로 반경방향 내측으로 연장된다. 내측 립(264)은 평탄한 수직 내측 벽을 가질 수 있다. 내측 립(264)은 기판(154) 위에서 그리고 기판(154) 위로 연장된다. 내측 립(264)은 내측 스텝(266)과 연결된다. 내측 스텝(266)은 직각 돌출부이다. 내측 스텝(266)은 내측 립(264) 아래에 그리고 내측 립(264)으로부터 반경방향 외측에 위치된다. 내측 스텝(266)은 기판 지지부(104)와 맞물리는 바닥 표면을 갖는다. 내측 스텝(266)은 바닥 표면(268) 및 내측 립(264)과 연결된다. 바닥 표면(268)은 경사진 상단 표면(262)과 대향하고, 그리고 수직 벽(270)에 의해, 경사진 상단 표면(262)에 연결된다. 바닥 표면(268)은 기판 지지부(104)로부터 반경방향 외측으로 연장되고, 그리고 홀더(156)와 맞물리도록 구성된다.
[0025] 도 3은 본원에서 설명되는 다른 구현에 따른 에지 링의 부분적인 단면도를 도시한다. 도 3의 구현에서, 에지 링(360)은 경사진 상단 표면(362)을 갖는 환상 링이다. 에지 링(360)은 프로세싱 챔버(100)에서 활용될 수 있다. 에지 링(360)은 에지 링(260)와 유사하며, 내측 립(364), 내측 스텝(366), 바닥 표면(368), 및 수직 벽(370)을 포함한다. 에지 링(360)은 경사진 상단 표면(362)을 갖는 환상 링이며, 그 경사진 상단 표면(362)은 내측 둘레 쪽으로 더 낮아지고, 외측 둘레 쪽으로 더 높아진다. 다시 말하면, 경사진 상단 표면(362)은 반경방향 외측으로 높이가 증가된다. 경사진 상단 표면(362)은 비스듬한 표면일 수 있다. 일 구현에서, 경사진 상단 표면(362)은 챔퍼링된 에지(372)를 포함한다. 다른 구현에서, 경사진 상단 표면(362)은 둥근 에지들을 갖는다.
[0026] 동작 시에, 프로세싱 볼륨(120)에서 플라즈마가 생성된다. 프로세싱 볼륨(120)에서 플라즈마를 에너자이징(energize)할 시에, 플라즈마와 제1 전극(108) 사이에 전위차가 설정된다. 플라즈마와 제2 전극(122) 사이에 전위차가 또한 설정된다. 그렇게 형성된 플라즈마는 플라즈마의 반응성 구역에서 입자들을 생성할 수 있다. 입자들은 전형적으로, 플라즈마가 에너자이징되는 동안 정전기적으로 대전된 상태로 유지되고, 그에 따라, 입자들은 대부분 플라즈마 시스 내에 포획된 상태로 유지된다. 플라즈마가 디-에너자이징될 때, 프로세싱되는 기판 상에 입자들을 증착하는 것을 방지하기 위해, 에지 링(160)은 고 플라즈마 밀도 구역을 기판의 에지 영역으로부터 멀어지게 시프팅한다. 기판 지지부(104)로부터의 에지 링(160)의 높이(elevation)는 기판(154)으로부터 멀어지게 배기부(152) 쪽으로 입자들을 밀어낸다. 부가적으로, 플라즈마와 에지 링(160) 사이에 전위차가 설정되어, 대전된 입자들을 기판(154)으로부터 멀어지게 밀어낼 수 있다.
[0027] 에지 링은 또한, 기판 에지 근처에서 플라즈마 밀도의 더 많은 제어를 제공하여, 플라즈마 종료 동안 에지 스프레이 결함(edge spray defect)들을 감소시킨다. 특정 구현들에서, 에지 링은, 떨어지는 입자들로부터 기판을 보호할 뿐만 아니라 입자들을 기판으로부터 멀어지게 지향시키기 위한 표면을 제공한다. 플라즈마 입자들은 대신, 배기부 쪽으로 그리고 기판으로부터 멀어지게 지향된다.
[0028] 전술한 바가 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 구현들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있다.

Claims (15)

  1. 챔버 바디(body);
    상기 챔버 바디에 커플링된 덮개 ― 상기 챔버 바디와 상기 덮개는 상기 챔버 바디와 상기 덮개 사이에 프로세싱 볼륨(processing volume)을 정의함 ―;
    상기 프로세싱 볼륨에 배치된 기판 지지부; 및
    에지 링(edge ring)
    을 포함하며,
    상기 에지 링은,
    반경방향 내측으로 연장되는 내측 립(lip);
    상기 내측 립에 연결된 상단 표면;
    상기 상단 표면과 대향하고, 상기 기판 지지부로부터 반경방향 외측으로 연장되는 바닥 표면; 및
    상기 바닥 표면과 상기 내측 립 사이의 내측 스텝(step)
    을 포함하는,
    플라즈마 프로세싱 장치.
  2. 제1 항에 있어서,
    상기 기판 지지부 및 상기 에지 링 각각은 독립적으로, 세라믹 재료를 포함하는,
    플라즈마 프로세싱 장치.
  3. 제1 항에 있어서,
    상기 덮개는 가스 분배기를 포함하며, 상기 가스 분배기는 상기 가스 분배기를 통해 형성된 복수의 가스 유동 개구들을 갖는,
    플라즈마 프로세싱 장치.
  4. 제1 항에 있어서,
    상기 챔버 바디에 커플링된 에지 링 홀더(holder)를 더 포함하는,
    플라즈마 프로세싱 장치.
  5. 제1 항에 있어서,
    상기 에지 링의 상단 표면은 경사져 있는,
    플라즈마 프로세싱 장치.
  6. 제1 항에 있어서,
    상기 에지 링의 상단 표면은 평탄한,
    플라즈마 프로세싱 장치.
  7. 제1 항에 있어서,
    상기 에지 링은 둥근 에지들을 갖는,
    플라즈마 프로세싱 장치.
  8. 제1 항에 있어서,
    상기 에지 링의 상단 표면은 챔퍼링(chamfer)되는,
    플라즈마 프로세싱 장치.
  9. 제1 항에 있어서,
    상기 챔버는 플라즈마-강화 화학 기상 증착 챔버인,
    플라즈마 프로세싱 장치.
  10. 제1 항에 있어서,
    상기 에지 링은 알루미늄을 포함하는,
    플라즈마 프로세싱 장치.
  11. 제1 항에 있어서,
    상기 에지 링은 상기 기판 지지부 상에 배치되는,
    플라즈마 프로세싱 장치.
  12. 챔버 바디;
    상기 챔버 바디에 커플링된 덮개 ― 상기 챔버 바디와 상기 덮개는 상기 챔버 바디와 상기 덮개 사이에 프로세싱 볼륨을 정의함 ―;
    상기 프로세싱 볼륨에 배치된 기판 지지부; 및
    상기 기판 지지부 상에 배치된 에지 링
    을 포함하며,
    상기 에지 링은,
    반경방향 내측으로 연장되는 내측 립;
    상기 내측 립에 연결된 상단 표면 ― 상기 에지 링의 상단 표면은 챔퍼링됨 ―;
    상기 상단 표면과 대향하고, 상기 기판 지지부로부터 반경방향 외측으로 연장되는 바닥 표면; 및
    상기 바닥 표면과 상기 내측 립 사이에서 상기 기판 지지부 상에 배치된 내측 스텝
    을 포함하는,
    플라즈마 프로세싱 장치.
  13. 제12 항에 있어서,
    상기 에지 링은 알루미늄을 포함하는,
    플라즈마 프로세싱 장치.
  14. 제12 항에 있어서,
    상기 챔버 바디에 커플링된 에지 링 홀더를 더 포함하는,
    플라즈마 프로세싱 장치.
  15. 제12 항에 있어서,
    상기 챔버는 플라즈마-강화 화학 기상 증착 챔버인,
    플라즈마 프로세싱 장치.
KR1020197025994A 2017-04-07 2018-04-06 기판 에지 상의 플라즈마 밀도 제어 KR20190128638A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762482915P 2017-04-07 2017-04-07
US62/482,915 2017-04-07
PCT/US2018/026441 WO2018187679A1 (en) 2017-04-07 2018-04-06 Plasma density control on substrate edge

Publications (1)

Publication Number Publication Date
KR20190128638A true KR20190128638A (ko) 2019-11-18

Family

ID=63711764

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197025994A KR20190128638A (ko) 2017-04-07 2018-04-06 기판 에지 상의 플라즈마 밀도 제어

Country Status (5)

Country Link
US (2) US10790121B2 (ko)
JP (1) JP2020516770A (ko)
KR (1) KR20190128638A (ko)
CN (2) CN117174641A (ko)
WO (1) WO2018187679A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117174641A (zh) 2017-04-07 2023-12-05 应用材料公司 在基板边缘上的等离子体密度控制
JP2022523630A (ja) * 2019-01-15 2022-04-26 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用ペデスタル
US11264219B2 (en) * 2019-04-17 2022-03-01 Samsung Electronics Co., Ltd. Radical monitoring apparatus and plasma apparatus including the monitoring apparatus
US10588212B1 (en) * 2019-05-22 2020-03-10 Georges J. Gorin Plasma initiation in an inductive RF coupling mode
CN113802111B (zh) * 2020-06-13 2023-10-31 拓荆科技股份有限公司 使用等离子体处理衬底的设备及改善晶圆薄膜表面形貌的方法
US20230066418A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Focus ring for a plasma-based semiconductor processing tool

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4585515A (en) 1985-03-11 1986-04-29 Rca Corporation Formation of conductive lines
JPH0330663A (ja) 1989-06-28 1991-02-08 Toray Ind Inc アルコール飲料の製造方法
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
US5286297A (en) 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JPH0653176A (ja) 1992-07-30 1994-02-25 Matsushita Electron Corp ドライエッチング装置
EP0628644B1 (en) 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
US5414324A (en) 1993-05-28 1995-05-09 The University Of Tennessee Research Corporation One atmosphere, uniform glow discharge plasma
JP3257180B2 (ja) 1993-09-21 2002-02-18 ソニー株式会社 成膜方法
JPH08306663A (ja) 1995-04-28 1996-11-22 Sony Corp プラズマ装置及びこれを用いたプラズマ処理方法
US5805408A (en) * 1995-12-22 1998-09-08 Lam Research Corporation Electrostatic clamp with lip seal for clamping substrates
TW487983B (en) 1996-04-26 2002-05-21 Hitachi Ltd Manufacturing method for semiconductor device
US5737175A (en) 1996-06-19 1998-04-07 Lam Research Corporation Bias-tracking D.C. power circuit for an electrostatic chuck
JP3650248B2 (ja) 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
JPH10321604A (ja) 1997-05-22 1998-12-04 Nec Kyushu Ltd プラズマ処理装置
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
JP2000306891A (ja) 1999-04-22 2000-11-02 Hitachi Ltd プラズマ処理装置
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6488820B1 (en) 1999-08-23 2002-12-03 Applied Materials, Inc. Method and apparatus for reducing migration of conductive material on a component
JP2001096931A (ja) 1999-09-28 2001-04-10 Alps Electric Co Ltd 印刷方法および印刷用紙
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
TW531820B (en) 2000-05-12 2003-05-11 Tokyo Electron Ltd Method of adjusting the thickness of an electrode in a plasma processing system
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
TW511398B (en) 2000-09-12 2002-11-21 Tokyo Electron Ltd Apparatus and method to control the uniformity of plasma by reducing radial loss
US6544340B2 (en) * 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
JP2002241945A (ja) 2001-02-20 2002-08-28 Nissin Electric Co Ltd 薄膜形成装置
JP2002317267A (ja) 2001-04-17 2002-10-31 Nec Kagoshima Ltd 薄膜製造方法
TW561515B (en) 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
US7144521B2 (en) 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
KR20050053076A (ko) 2003-12-02 2005-06-08 주식회사 아이피에스 반도체 기판의 박막 제조장치
JP4584572B2 (ja) 2003-12-22 2010-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
JP5184890B2 (ja) * 2004-12-21 2013-04-17 アプライド マテリアルズ インコーポレイテッド 基板のための処理チャンバ
US7993489B2 (en) 2005-03-31 2011-08-09 Tokyo Electron Limited Capacitive coupling plasma processing apparatus and method for using the same
US20060278339A1 (en) 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
JP4804824B2 (ja) 2005-07-27 2011-11-02 株式会社日立ハイテクノロジーズ プラズマ処理装置
TWM292147U (en) 2005-11-23 2006-06-11 Celetech Semiconductor Inc Radio frequency grounding apparatus
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
TWI339404B (en) 2006-01-27 2011-03-21 Advanced Micro Fab Equip Inc A plasma processing apparatus equipped with plasma confinement apparatus
JP4790458B2 (ja) 2006-03-22 2011-10-12 東京エレクトロン株式会社 プラズマ処理装置
US20070227663A1 (en) 2006-03-28 2007-10-04 Tokyo Electron Limited Substrate processing apparatus and side wall component
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP2008007552A (ja) 2006-06-27 2008-01-17 Unitika Ltd ポリエステル樹脂組成物、その製造方法、および成形体
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US8418892B2 (en) 2006-08-17 2013-04-16 Coster Tecnologie Speciali S.P.A. Lockable spray cap
US20080084650A1 (en) 2006-10-04 2008-04-10 Applied Materials, Inc. Apparatus and method for substrate clamping in a plasma chamber
KR101250356B1 (ko) 2006-11-08 2013-04-05 주식회사 원익아이피에스 반도체 제조 장치
US20080142481A1 (en) 2006-12-18 2008-06-19 White John M In-situ particle collector
JP4838736B2 (ja) 2007-01-25 2011-12-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8563619B2 (en) 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
TWI440405B (zh) 2007-10-22 2014-06-01 New Power Plasma Co Ltd 電容式耦合電漿反應器
TW201001527A (en) * 2008-02-29 2010-01-01 Applied Materials Inc Method and apparatus for removing polymer from a substrate
US8409355B2 (en) * 2008-04-24 2013-04-02 Applied Materials, Inc. Low profile process kit
SG170717A1 (en) * 2009-11-02 2011-05-30 Lam Res Corp Hot edge ring with sloped upper surface
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US20140179108A1 (en) * 2012-12-21 2014-06-26 Applied Materials, Inc. Wafer Edge Protection and Efficiency Using Inert Gas and Ring
US9997381B2 (en) * 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
US20140273460A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Passive control for through silicon via tilt in icp chamber
US9425077B2 (en) * 2013-03-15 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus with transportable edge ring for substrate transport
CN105074869A (zh) * 2013-06-26 2015-11-18 应用材料公司 在icp等离子体处理腔室中用于高产出、衬底极端边缘缺陷减少的单环设计
US9101954B2 (en) 2013-09-17 2015-08-11 Applied Materials, Inc. Geometries and patterns for surface texturing to increase deposition retention
US9558981B2 (en) 2013-11-19 2017-01-31 Applied Materials, Inc. Control systems employing deflection sensors to control clamping forces applied by electrostatic chucks, and related methods
US10804081B2 (en) * 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US9330955B2 (en) 2013-12-31 2016-05-03 Applied Materials, Inc. Support ring with masked edge
WO2015138094A1 (en) * 2014-03-12 2015-09-17 Applied Materials, Inc. Wafer rotation in a semiconductor chamber
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10903055B2 (en) * 2015-04-17 2021-01-26 Applied Materials, Inc. Edge ring for bevel polymer reduction
US20170002465A1 (en) * 2015-06-30 2017-01-05 Lam Research Corporation Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity
CN117174641A (zh) 2017-04-07 2023-12-05 应用材料公司 在基板边缘上的等离子体密度控制

Also Published As

Publication number Publication date
US20180294146A1 (en) 2018-10-11
US20200381222A1 (en) 2020-12-03
CN110249416A (zh) 2019-09-17
CN117174641A (zh) 2023-12-05
CN110249416B (zh) 2023-09-12
US11495440B2 (en) 2022-11-08
WO2018187679A1 (en) 2018-10-11
JP2020516770A (ja) 2020-06-11
US10790121B2 (en) 2020-09-29

Similar Documents

Publication Publication Date Title
KR20190128638A (ko) 기판 에지 상의 플라즈마 밀도 제어
US9443753B2 (en) Apparatus for controlling the flow of a gas in a process chamber
US8108981B2 (en) Method of making an electrostatic chuck with reduced plasma penetration and arcing
US9218997B2 (en) Electrostatic chuck having reduced arcing
KR102529811B1 (ko) 플라즈마 모듈레이션에 의한 에지 험프 감소 페이스플레이트
US20090034147A1 (en) Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
JP5073097B2 (ja) 電極アセンブリ、基板を処理するための装置および基板を処理するための方法
US20230057432A1 (en) Ceramic coated quartz lid for processing chamber
TWI654645B (zh) 用於處理半導體工作件之裝置
US10629427B2 (en) Bevel etch profile control
JP7344676B2 (ja) プラズマエッチングチャンバ内の汚染を低減する装置
KR20210153149A (ko) 정전 척 시스템
KR102189151B1 (ko) 기판처리장치
JP2008042023A (ja) 基板処理装置
TW202135219A (zh) 雙極靜電吸盤上的邊緣均勻性調諧
US20180323039A1 (en) Active far edge plasma tunability
US20180294139A1 (en) Gas phase particle reduction in pecvd chamber
US11584994B2 (en) Pedestal for substrate processing chambers