KR20190082672A - 포토레지스트 조성물 및 방법 - Google Patents

포토레지스트 조성물 및 방법 Download PDF

Info

Publication number
KR20190082672A
KR20190082672A KR1020180172651A KR20180172651A KR20190082672A KR 20190082672 A KR20190082672 A KR 20190082672A KR 1020180172651 A KR1020180172651 A KR 1020180172651A KR 20180172651 A KR20180172651 A KR 20180172651A KR 20190082672 A KR20190082672 A KR 20190082672A
Authority
KR
South Korea
Prior art keywords
polymer
layer
photoresist
composition
photoresist composition
Prior art date
Application number
KR1020180172651A
Other languages
English (en)
Other versions
KR102177417B1 (ko
Inventor
카이츠 조슈아
마란고니 토마스
아카드 에마드
엠. 곽 에이미
리 밍치
카르돌라시아 토마스
이 충-봉
양 키
리우 콩
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Publication of KR20190082672A publication Critical patent/KR20190082672A/ko
Application granted granted Critical
Publication of KR102177417B1 publication Critical patent/KR102177417B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/22Esters containing halogen
    • C08F220/24Esters containing halogen containing perhaloalkyl radicals
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/28Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety
    • C08F220/283Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety and containing one or more carboxylic moiety in the chain, e.g. acetoacetoxyethyl(meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/04Oxygen-containing compounds
    • C08K5/10Esters; Ether-esters
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/36Sulfur-, selenium-, or tellurium-containing compounds
    • C08K5/41Compounds containing sulfur bound to oxygen
    • C08K5/42Sulfonic acids; Derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/49Phosphorus-containing compounds
    • C08K5/51Phosphorus bound to oxygen
    • C08K5/53Phosphorus bound to oxygen bound to oxygen and to carbon only
    • C08K5/5317Phosphonic compounds, e.g. R—P(:O)(OR')2
    • C08K5/5333Esters of phosphonic acids
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/55Boron-containing compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L101/00Compositions of unspecified macromolecular compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/04Homopolymers or copolymers of esters
    • C08L33/14Homopolymers or copolymers of esters of esters containing halogen, nitrogen, sulfur, or oxygen atoms in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D133/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Coating compositions based on derivatives of such polymers
    • C09D133/04Homopolymers or copolymers of esters
    • C09D133/14Homopolymers or copolymers of esters of esters containing halogen, nitrogen, sulfur or oxygen atoms in addition to the carboxy oxygen
    • C09D133/16Homopolymers or copolymers of esters containing halogen atoms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1808C8-(meth)acrylate, e.g. isooctyl (meth)acrylate or 2-ethylhexyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/04Homopolymers or copolymers of esters
    • C08L33/06Homopolymers or copolymers of esters of esters containing only carbon, hydrogen and oxygen, which oxygen atoms are present only as part of the carboxyl radical

Landscapes

  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Paints Or Removers (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

다양한 용도에 유용한 신규한 포토레지스트 및 탑코트(topcoat) 조성물이 제공된다. 한 측면에서, (a) 제1 매트릭스 폴리머; (b) 하나 이상의 산발생제; 및 (c) 화학식(I) 및/또는 화학식(II)의 하나 이상의 첨가제 화합물을 포함하는 신규한 포토레지스트 조성물이 제공된다.

Description

포토레지스트 조성물 및 방법{PHOTORESIST COMPOSITIONS AND METHODS}
본 발명은 일반적으로 전자 소자(electronic device)의 제조에 관한 것이다. 보다 구체적으로, 본 발명은 포토레지스트 및 탑코트(topcoat) 조성물 및 미세 패턴의 형성을 허용하는 포토리소그래픽 공정에 관한 것이다. 본 발명의 조성물은 특히 반도체 소자의 형성을 위한 액침 리소그래피 공정에 유용하다.
포토레지스트는 이미지를 기판에 전사하는 데 사용되는 감광성 필름이다. 포토레지스트의 코팅층은 기판 상에 형성되고, 그 후 포토레지스트 층은 포토마스크를 통해 활성화 방사선 소스에 노출된다. 노광 후, 포토레지스트는 현상되어 기판의 선택적 처리를 허용하는 릴리프 이미지를 제공한다.
액침 리소그래피에 포함되는 포지티브 톤 레지스트 현상(positive tone resist development)의 실제 해상도 성능을 확장하기 위해 상당한 노력을 기울여왔다. 그러한 예는 특정 현상액, 전형적으로 케톤, 에스터 또는 에터와 같은 유기 현상액의 사용을 통한 전통적으로 포지티브형 화학 증폭 포토레지스트의 네거티브 톤 현상(NTD)을 포함하며, 불용성 노출 영역에 의해 형성된 패턴을 남긴다. 예를 들어, 미국 특허 제6790579호를 참조한다.
특정 첨가제는 레지스트 해상도를 개선하기 위한 시도로 사용되었다. 제JPH11337722A호; 제US2007190451호; 제EP1702962B1호; 제US20060172149호; 제US20130177853호; 제US20130344436호; 제US20140038102호; 제US6132931호; 제US20120077120호; 제US6391521호; 제US9563123호 참조.
액침 리소그래피에서, 액침 유체와 포토레지스트 층 사이의 직접적인 접촉은 포토레지스트 구성 요소가 액침 유체로 침출될 수 있다. 이러한 침출은 광학 렌즈의 오염을 유발할 수 있고, 액침 유체의 유효 굴절률 및 투과 특성의 변화를 유발할 수 있다. 이러한 문제를 개선하기 위한 노력으로, 액침 유체와 하부의 포토레지스트 층 사이의 장벽으로서 포토레지스트 층 위에 탑코트 층을 사용하는 것이 제안되었다. 그러나, 액침 리소그래피에서 탑코트 층의 사용은 다양한 과제를 제시한다. 탑코트 층은 탑코트 굴절률, 두께, 산성도, 레지스트와의 화학적 상호 작용 및 액침시간과 같은 특성에 따라, 예를 들어 프로세스 윈도우, 임계 치수(CD) 변동 및 레지스트 프로파일에 영향을 미칠 수 있다. 또한, 탑코트 층의 사용은, 예를 들어 적절한 레지스트 패턴 형성을 방해하는 미세-브릿지(micro-bridging) 결함으로 인해 디바이스 수율에 부정적인 영향을 미칠 수 있다.
탑코트 재료의 성능을 향상시키기 위해, 경사 분리된 탑코트 층을 형성하기 위한 자기-분리 탑코트 조성물의 사용이 예를 들어, 문헌[Self-segregating Materials for Immersion Lithography, Daniel P. Sanders et al, Advances in Resist Materials and Processing Technology XXV, Proceedings of the SPIE, Vol. 6923, pp. 692309-1-692309-12 (2008)]에 제안되어 있다. 또한 제US20120264053호를 참조한다.
전자 소자 제조업체는 패턴화된 포토레지스트 이미지의 해상도를 지속적으로 향상시키려고 노력하고 있다. 향상된 이미징 성능을 제공할 수 있는 새로운 조성물을 제공하는 것이 바람직할 것이다.
이하, 액침 리소그래피 공정을 포함하여 포토리소그래피 응용에 유용한 신규한 조성물을 제공한다.
바람직한 구현예에서, 본 조성물은 오래 저장시 조성물의 비교적 감소된 분해를 포함하여, 향상된 저장 수명을 발휘할 수 있다. 예를 들어, 하기 실시예에 개시된 비교 데이터 세트를 참조한다.
보다 상세하게는, 제1 측면에서, (a) 제1 매트릭스 폴리머; (b) 하나 이상의 산발생제; 및 (c) 하기 화학식(1) 또는 화학식(2)의 구조를 갖는 하나 이상의 첨가제 화합물을 포함하는, 포토레지스트 조성물이 제공된다:
Figure pat00001
화학식(1) 및 화학식(2) 각각에서, R1 및 R2는 선택적으로 치환된 알킬기, 선택적으로 치환된 알콕시기, 선택적으로 치환된 싸이오알킬기, 선택적으로 치환된 탄소고리 아릴기 또는 선택적으로 치환된 헤테로방향족기와 같은 각각 동일하거나 상이한 비수소 치환기이거나,
R1 또는 R2는 Z가 단일 결합 또는 C=O; S(O); S(O)2; -C(=O)O-; -C(=O)NH-; -C(=O)-C(=O)-; -O-; CHOH; CH2; S; -B-에서 선택되는 기인 그룹 Z를 통해 연결될 수 있으며;
각각의 화학식에서 X는 다음 중 하나이며:
Figure pat00002
식 중, R3은 비수소 치환기이고,
각각의 n은 1 내지 5의 양의 정수이다. R1 및 R2의 바람직한 선택적 치환은 예를 들어 (Y는 선형 또는 분지형, 포화 또는 불포화 알킬기, 플루오로 알케인 또는 알켄 또는 알킨, 또는 탄소고리 아릴 또는 헤테로 방향족인) -OY -NO2,-CF3-;-C(=O)NHY; -C(=O)-C(=O)-Y; CHOY; CH2Y; -SY; -B(Y)n; -NHC(=O)Y; -(C=O)OY로의 치환을 포함한다.
바람직한 측면에서, 포토레지스트 조성물은 제1 폴리머와 구별되는 제2 폴리머를 함유할 것이다. 바람직하게는, 이러한 제2 폴리머는 하나 이상의 플루오로C1-12알킬기와 같은 플루오로 치환을 포함할 것이다. 특정 측면에서, 제2 폴리머는 하기 화학식(3)의 반복 단위를 포함할 수 있다:
Figure pat00003
화학식(3)에서 R4 및 R5는 각각 독립적으로 수소, 할로젠, C1-8 알킬기 또는 플루오로C1-8알킬과 같은 C1-8할로알킬기이며, L은 선택적으로 치환된 다가 연결기, 예컨대 -(CH2)q(여기서 q는 1, 2, 3, 4, 5, 또는 6이다)이다.
바람직한 측면에서, 첨가제 화합물은 하나 이상의 무수물 모이어티를 포함한다. 바람직한 첨가제 화합물은 또한 할로젠화, 특히 플루오르화될 수 있다. 바람직한 첨가제 화합물은 또한 설폰산 에스터기를 포함할 수 있다.
추가 측면에서, 포토레지스트 층 위에 걸쳐 사용하기 위한 탑코트 조성물이 제공되며, 바람직한 탑코트 조성물은 (a) 제1 매트릭스 폴리머; (b) 상기 제1 폴리머와 구별되는 제2 폴리머; 및 (c) 하기 화학식(1) 또는 화학식(2)의 구조를 갖는 하나 이상의 첨가제 화합물을 포함할 수 있되,
Figure pat00004
이들 화학식(1) 및 (2) 각각에서, R1, R2, X, R3 및 n은 상기 정의한 바와 동일하다.
바람직하게는 탑코트 조성물의 제2 폴리머는 하나 이상의 플루오로C1-12알킬기와 같은 플루오로 치환을 포함할 것이다. 특정 측면에서, 제2 폴리머는 하기 화학식(3)의 반복 단위를 포함할 수 있다:
Figure pat00005
화학식(3)에서 R4 및 R5는 각각 독립적으로 수소, 할로젠, C1-8 알킬기 또는 플루오로C1-8알킬과 같은 C1-8할로알킬기이며, L은 선택적으로 치환된 다가 연결기, 예컨대 -(CH2)q(여기서 q는 1, 2, 3, 4, 5, 또는 6이다)이다.
바람직한 측면에서, 첨가제 화합물은 하나 이상의 무수물 모이어티를 포함한다. 바람직한 첨가제 화합물은 또한 할로젠화, 특히 플루오르화될 수 있다. 바람직한 첨가제 화합물은 또한 설폰산 에스터기를 포함할 수 있다.
다른 측면에 따라, 코팅 기판이 제공된다. 코팅 기판은 기판의 표면 위에 걸쳐 본 발명의 포토레지스트 조성물의 층 및 기판을 포함한다. 기판 및 본 발명의 탑코트 조성물의 층 및 기판을 포함하는 코팅 기판이 또한 제공된다.
탑코트 조성물은 전형적으로 본 발명의 포토레지스트 조성물일 수 있는 포토레지스트 조성물 층 위에 걸쳐 코팅될 것이다.
또 다른 측면에 따라, 포토리소그래피 패턴을 형성하는 방법이 제공된다. 방법은 (a) 기판의 표면 위에 걸쳐 패턴화될 하나 이상의 층을 포함하는 기판을 제공하는 단계; (b) 패턴화될 하나 이상의 층 위에 본 발명의 포토레지스트 조성물의 층을 도포하는 단계; (c) 포토레지스트 조성물 층을 활성화 조사선에 패턴방식으로 노광하는 단계; 및 (d) 포토레지스트 조성물 층에 현상액을 도포하여 레지스트 릴리프 이미지를 생성하는 단계를 적절하게 포함한다. 적합하게는, 노광된 포토레지스트 조성물 층은 현상 전에 노광-후 베이킹 공정에서 열처리된다.
바람직한 측면에서, 포토레지스트 층의 미노광 부분은 현상액에 의해 제거되어 패턴화될 하나 이상의 층 위에 걸쳐 포토레지스트 패턴을 남긴다. 패턴방식 노광은 액침 리소그래피에 의해, 또는 대안으로 건식 노광 기술을 사용하여 수행 될 수 있다. 특정 측면에서, 주입 및 EUV 리소그래피 공정 또한 바람직하다.
추가로 제공된 방법은 (a) 기판의 표면 위에 걸쳐 패턴화될 하나 이상의 층을 포함하는 기판을 제공하는 단계; (b) 패턴화될 하나 이상의 층 위에 포토레지스트 조성물의 층을 도포하는 단계; (c) 본 발명의 탑코트 조성물의 층을 포토레지스트 조성물 층 위에 걸쳐 또는 위에 도포하는 단계; (d) 탑코트 조성물 층 및 포토레지스트 조성물 층 모두를 활성화 조사선에 패턴방식으로 노광하는 단계; 및 (e) 이미징되고 코팅된 기판에 현상액을 도포하여 레지스트 릴리프 이미지를 생성하는 단계를 포함하는 포토리소그래피 패턴을 형성하는 단계를 포함한다. 적합하게는, 노광된 포토레지스트 조성물 및 탑코트 조성물 층은 현상 전에 노광-후 베이킹 공정에서 열처리된다. 다양한 측면에서, 패턴방식 노광은 액침 리소그래피에 의해 또는 대안으로 건식 노광 기술을 이용하여 수행될 수 있다. 특정 측면에서, 주입 및 EUV 리소그래피 공정 또한 바람직하다.
본 발명은 또한 폴리머 주쇄(backbone)로부터 이격된 반응성 질소 함유 모이어티를 포함하는 폴리머를 포함하되, 질소 함유 모이어티는 포토레지스트 조성물의 리소그래피 공정 중에 염기성 절단 생성물을 생성한다.
또한, 개시된 방법으로 형성된 전자 소자가 제공된다.
본 발명의 다른 측면이 하기에 개시된다.
포토레지스트 및 탑코트 조성물에 사용하기에 바람직한 첨가제 화합물은 폴리머 또는 비폴리머일 수 있고, 비폴리머 첨가제 화합물이 많은 용도에 바람직할 수 있다. 바람직한 첨가제 화합물은 비교적 낮은 분자량, 예를 들면, 3000 이하, 더욱 바람직하게는 2500 이하, 2000 이하, 1500 이하, 1000 이하, 800 이하, 또는 더욱 더 바람직하게는 500 이하의 분자량을 가진다.
바람직한 첨가제 화합물은 에틸 락테이트, 프로필렌 글리콜 메틸 에터 아세테이트(PGMEA), 사이클로헥산온 및 이들의 혼합물과 같은 우수한 용해도 n 유기 포토레지스트 용매를 나타낸다.
하나의 측면에서, 무수물기와 같은 차단 산기 또는 마스크 산기를 포함하는 첨가제 화합물이 바람직하다.
본 발명에 유용한 첨가제 화합물은 일반적으로 시판 중이거나 용이하게 합성될 수 있다. 예를 들어, 하기 실시예를 참조한다.
본 발명의 포토레지스트 및 탑코트 조성물은 포토레지스트 또는 탑코트 조성물의 총 고형분의 중량(총 고형분은 용매를 제외한 모든 조성물 성분)을 기준으로 0.005 내지 20 중량%, 보다 바람직하게는 조성물의 총 고형분의 총 중량을 기준으로 0.01, 0.05, 0.1, 0.02, 0.3, 0.4, 0.5 또는 1 내지 1, 2, 3, 4, 5 또는 10 중량%, 보다 전형적으로는 0.01, 0.05, 0.1, 0.02, 0.3, 0.4, 0.05 또는 1 내지 5, 6, 7, 8, 9 또는 10 중량 퍼센트와 같이 다양한 양의 범위 내에서 하나 이상의 첨가제 화합물을 포함할 수 있다.
구체적으로 바람직한 첨가제 화합물은 다음을 포함한다:
Figure pat00006
Figure pat00007
바람직한 조성물에서, 제1 폴리머는 포토레지스트 조성물의 코팅 중에 레지스트 코팅층의 상부 표면을 향해 이동할 수 있다. 특정 시스템에서, 이는 실질적으로 제1 폴리머로 구성된 표면층을 형성할 수 있다. 임의의 이론에 구애됨이 없이, 제1 폴리머의 질소(염기성) 모이어티는 산란광 또는 미광의 제어에 기여하여, 라인 및 트렌치 패턴 형성의 경우 콘택 홀(contact hole) 및 미세-브릿지 결함의 누락과 같은 패터닝 결함의 감소를 허용한다고 여겨진다. 노광 및 노광 후 베이킹(PEB) 후에, 유기 용매를 포함하는 현상액을 포함하여 레지스트 코팅층이 현상될 수 있다. 유기 현상액은 노광 영역의 표면층 및 포토레지스트 층의 미노광 영역을 제거한다. 본 발명의 포토레지스트 조성물의 이점은 건식 리소그래피 또는 액침 리소그래피 공정에서 조성물을 사용할 때 달성될 수 있다. 액침 리소그래피에서 사용될 때, 바람직한 포토레지스트 조성물은 포토레지스트 재료가 액침 유체 내로 감소된 이동(침출) 또한 레지스트 표면으로의 첨가제 폴리머의 이동의 결과를 더 나타낼 수 있다. 중요하게도, 이는 포토레지스트 위에 걸쳐 탑코트 층을 사용하지 않고도 달성될 수 있다.
포토레지스트는 다양한 방사선 파장, 예를 들어 서브-400 nm, 서브-300 nm, 서브-200 nm의 파장, 또는 바람직하게는 248 nm, 193 nm 및 EUV(예컨대, 13.5 nm)의 노광 파장에서 사용될 수 있다. 조성물은 전자 빔(E-빔) 노광 공정에 추가로 사용될 수 있다.
본 발명의 포토레지스트 조성물은 화학 증폭 물질인 것이 바람직하다. 바람직한 구현예에서, 포토레지스트 조성물은 산 불안정기를 포함하는 (제1 폴리머와 구별되는) 하나 이상의 제2 또는 매트릭스 폴리머를 포함한다. 산 불안정기는 산 존재 하에서 탈보호 반응을 쉽게 겪는 화학적 모이어티이다. 포토레지스트 조성물의 층의 일부로서의 제2 또는 매트릭스 폴리머는 리소그래피 공정, 특히 소프트 베이킹, 활성화 방사선에 노광 및 노광 후 베이킹 중에 광산 및/또는 열적 산 발생제로부터 생성된 산과의 반응의 결과로서 본원에 기재된 현상액에서 용해도의 변화를 겪는다. 이는 산 불안정기의 광산-유도 절단으로 인한 것으로, 제2 폴리머의 극성 변화를 유발한다. 산 불안정기는 예를 들어, 3차 알킬 카보네이트, 3차 알킬 에스터, 3차 알킬 에터, 아세탈 및 케탈로부터 선택될 수 있다. 바람직하게는, 산 불안정기는 제2 매트릭스 폴리머의 에스터의 카복시 산소에 공유 결합된 3차 비고리형 알킬 탄소 또는 3차 지방족 고리형 탄소를 함유하는 에스터기이다. 이러한 산 불안정기의 절단은 카복시산기의 형성을 초래한다. 적합한 산 불안정기 함유 단위는 예를 들어 t-뷰틸 (메트)아크릴레이트, 1-메틸사이클로펜틸 (메트)아크릴레이트, 1-에틸사이클로펜틸 (메트)아크릴레이트, 1-아이소프로필사이클로펜틸 (메트)아크릴레이트, 1-프로필사이클로펜틸 (메트)아크릴레이트, 1-메틸사이클로헥실 (메트)아크릴레이트, 1-에틸사이클로헥실 (메트)아크릴레이트, 1-아이소프로필사이클로헥실 (메트)아크릴레이트, 1-프로필사이클로헥실 (메트)아크릴레이트, t-뷰틸 메틸아다만틸(메트)아크릴레이트, 에틸펜칠(메트)아크릴레이트 등과 같은 산 불안정 (알킬)아크릴레이트 단위 및 지방족 고리형과 비고리형 (알킬) 아크릴레이트를 비롯한 기타 고리형 화합물을 포함한다. 아세탈 및 케탈 산 불안정기는 카복시기 또는 하이드록시기와 같은 알칼리 가용성 기의 말단에서 수소 원자로 치환되어 산소 원자와 결합될 수 있다. 산이 발생하면, 산은 아세탈-형 산해리성 용해-억제기가 결합된 아세탈기 또는 케탈기와 산소 원자 사이의 결합을 절단한다. 예시적인 이러한 산 불안정기는 예를 들어, 미국 특허 제US6057083호, 제US6136501호 및 제US8206886호, 유럽 특허 공개 번호 제EP01008913A1호 및 제EP00930542A1호에 기재되어 있다. 또한 당 유도체 구조의 일부로서 아세탈 및 케탈기가 적합하며, 이들의 절단은 예를 들어, 미국 특허 출원 번호 제US2012/0064456A1호에 기재된 하이드록시기의 형성을 초래할 수 있다.
200nm 이상, 예컨대 248nm의 파장에서 이미징하는 경우, (본 발명의 포토레지스트 조성물의 제2 폴리머로서 사용하기 위해 포함하는) 적합한 수지 재료는 예를 들어, 산 불안정기를 함유하는 페놀 수지를 포함한다. 이 부류의 특히 바람직한 수지는 (i) 바이닐 페놀 및 전술한 산 불안정 (알킬) 아크릴레이트의 중합 단위를 함유하는 폴리머, 예컨대 미국 특허 번호 제6,042,997호 및 제5,492,793호에 기재된 폴리머; (ii) 바이닐 페놀, 하이드록시 또는 카복시 고리 치환기를 함유하지 않는 선택적으로 치환된 바이닐 페닐(예컨대, 스타이렌), 및 전술한 산 불안정 (알킬) 아크릴레이트의 중합 단위를 함유하는 폴리머, 예컨대 미국 특허 번호 제6,042,997호에 기술된 폴리머; (iii) 광산 및 페닐 또는 페놀기와 같은 선택적 방향족 반복 단위와 반응할 아세탈 또는 케탈 모이어티를 포함하는 반복 단위를 함유하는 폴리머; 예컨대 미국 특허 번호 제5,929,176호 및 제6,090,526호에 기재된 폴리머, 및 (i) 및/또는 (ii) 및/또는 (iii)의 혼합을 포함한다.
193nm와 같은 특정 서브-200 nm 파장에서 이미징하는 경우, 제2 또는 매트릭스 폴리머는 전형적으로 방사선을 많이 흡수하는 페닐기, 벤질기 또는 다른 방향족기가 실질적으로 없고(예컨대, 15 몰% 미만), 바람직하게는 완전히 없다. 방향족기가 실질적으로 또는 완전히 없는 적합한 폴리머는 유럽 특허 공개 번호 제EP930542A1호 및 미국 특허 번호 제6,692,888호 및 제6,680,159호에 개시되어 있다.
다른 적합한 제2 또는 매트릭스 폴리머는, 예를 들어 임의로 치환된 노보넨과 같은 비방향족 사이클릭 올레핀(엔도사이클릭 이중 결합)의 중합 단위를 함유하는 폴리머, 예를 들어 미국 특허 번호 제5,843,624호 및 제6,048,664호에 기재된 폴리머를 포함한다. 또 다른 적합한 매트릭스 폴리머는 예컨대 유럽 공개 출원 제EP01008913A1호 및 미국 특허 번호 제6,048,662호에 개시된 중합된 무수물 단위, 특히 중합된 말레산 무수물 및/또는 이타콘산 무수물 단위를 함유하는 폴리머를 포함한다.
또한 제2 또는 매트릭스 폴리머로서 적합한 것은 헤테로 원자, 특히 산소 및/또는 황을 함유하는 반복 단위(그러나, 무수물 이외의 단위, 즉 케토 고리 원자를 함유하지 않는 단위)를 함유하는 수지이다. 헤테로지방족 고리형 단위는 폴리머 주쇄에 융합될 수 있고, 말레산 무수물 또는 이타콘산 무수물의 중합에 의해 제공되는 것과 같은 노보넨기 및/또는 무수물 단위의 중합에 의해 제공되는 것과 같은 융합된 탄소 지방족 고리형 단위를 포함할 수 있다. 이러한 폴리머는 국제 공개 번호 제WO0186353A1호 및 미국 특허 번호 제6,306,554호에 개시된다. 다른 적합한 헤테로 원자기 함유 매트릭스 폴리머는 미국 특허 제7,244,542호에 개시된 것과 같은 하나 이상의 헤테로 원자(예컨대, 산소 또는 황) 함유기로 치환된 중합 카보사이클릭 아릴 단위, 예를 들어 하이드록시 나프틸기를 함유하는 폴리머를 포함한다.
193nm 및 EUV (예컨대, 13.5nm)와 같은 서브-200nm 파장의 경우에, 제2 또는 매트릭스 폴리머는 제2 매트릭스 폴리머 및 포토레지스트 조성물의 용해 속도를 제어하기 위한 락톤 모이어티를 함유하는 단위를 포함할 수 있다. 락톤 모이어티를 함유하는 제2 또는 매트릭스 폴리머에 사용하기에 적합한 모노머는 예를 들어 다음을 포함한다:
Figure pat00008
이러한 제2 또는 매트릭스 폴리머는 또한 전형적으로 매트릭스 폴리머 및 포토레지스트 조성물의 에칭 내성을 강화시키고, 매트릭스 폴리머 및 포토레지스트 조성물의 용해 속도를 제어하기 위한 부가적인 수단을 제공하는 극성기를 함유하는 단위를 포함한다. 이러한 단위를 형성하기 위한 모노머는 예를 들어 다음을 포함한다:
Figure pat00009
제2 또는 매트릭스 폴리머는 전술한 유형의 하나 이상의 추가 단위를 포함할 수 있다. 전형적으로, 제2 또는 매트릭스 폴리머에 추가 단위는 폴리머의 다른 단위를 형성하는 데 사용되는 모노머에 대해 사용된 것과 동일하거나 유사한 중합성 기를 포함하지만, 동일한 폴리머 주쇄에 다른, 상이한 중합성 기를 포함할 수 있다.
바람직한 측면에서, 제2 또는 매트릭스 폴리머는 후술하는 제1 또는 첨가제 폴리머보다 높은 표면 에너지를 가지며, 제2 폴리머와 실질적으로 비혼화성이어야 한다. 표면 에너지의 차이의 결과로서, 제1 폴리머에서 제2 폴리머의 분리는 스핀-코팅 중에 일어날 수 있다. 제2 또는 매트릭스 폴리머의 적합한 표면 에너지는 전형적으로 20 내지 50 mN/m, 바람직하게는 30 내지 40 mN/m이다.
이에 제한되지는 않지만, 예시적인 제2 또는 매트릭스 폴리머는 예를 들어 다음을 포함한다:
Figure pat00010
Figure pat00011
논의된 바와 같이, 바람직한 측면에서, 제2 폴리머는 플루오로알킬을 포함하는 플루오로 치환과 같은 할로젠 치환, 예컨대 헥소플루오로프로필알코올 치환을 갖는 폴리머를 가질 수 있다.
본 발명의 포토레지스트 조성물에 사용하기에 적합한 제2 또는 매트릭스 폴리머는 시판 중이며 당업자에 의해 용이하게 제조될 수 있다. 제2 폴리머는 레지스트의 노광된 코팅층을 적합한 현상액에서 현상 가능하게 하기에 충분한 양으로 레지스트 조성물에 존재한다. 전형적으로, 제2 폴리머는 레지스트 조성물의 총 고형분을 기준으로 50 내지 95 중량%의 양으로 조성물에 존재한다. 제2 폴리머의 중량 평균 분자량 Mw는 전형적으로 100,000 미만, 예를 들어 3000 내지 100,000, 보다 전형적으로 3000 내지 15,000이다. 전술한 제2 폴리머의 둘 이상의 혼합이 본 발명의 포토레지스트 조성물에 적합하게 사용될 수 있다.
제1 또는 첨가제 폴리머는 바람직하게는 제2 폴리머보다 낮은 표면 에너지를 가지며 제2 폴리머와 실질적으로 비혼화성이어야 하는 물질이다. 이러한 방식으로, 코팅 공정 중에 도포된 포토레지스트 층의 최상부 또는 상부로의 제1 폴리머의 분리 또는 이동이 용이해진다. 제1 폴리머의 원하는 표면 에너지는 특정 제2 폴리머 및 그의 표면 에너지에 좌우되지만, 제1 폴리머 표면 에너지는 전형적으로 18 내지 40 mN/m, 바람직하게는 20 내지 35 mN/m 및 보다 바람직하게는 29 내지 33 mN/m이다. 제1 폴리머가 코팅 공정 중에 레지스트 층의 상부 표면으로 이동하지만, 레지스트 층 표면 바로 아래의 제1 폴리머와 제2 또는 매트릭스 폴리머 사이에 약간의 혼합이 존재하는 것이 바람직하다. 이러한 혼합은 미광으로 인한 제2 또는 매트릭스 폴리머 근방의 어두운 영역에서 생성된 산의 감소 또는 제거에 의해 레지스트 층에서 표면 억제를 감소시키는 것을 돕는 것으로 여겨진다. 혼합의 범위는 예를 들어, 제2 또는 매트릭스 폴리머(MP)와 제1 또는 첨가제 폴리머(AP) 사이의 표면 에너지(SE)의 차(ΔSE = SEMP - SEAP)에 따라 결정된다. 주어진 제1 또는 매트릭스 및 제2 또는 첨가제 폴리머에 대해, 감소된 ΔSE로 혼합의 정도가 증가될 수 있다. ΔSE는 전형적으로는 2 내지 32 mN/m, 바람직하게는 5 내지 15 mN/m이다.
논의된 바와 같이, 포토레지스트 조성물에 유용한 제1 또는 첨가제 폴리머는 복수의 별개의 반복 단위, 예를 들어 2개, 3개 또는 4개의 별개의 반복 단위를 갖는 코폴리머이다.
제1 폴리머는 바람직하게는 실리콘이 없다. 실리콘 함유 폴리머는 특정 에칭액에서 유기 포토레지스트 폴리머보다 현저히 낮은 에칭 속도를 보인다. 그 결과, 유기 제2 폴리머계 레지스트 층의 표면에서의 실리콘-함유 제1 폴리머의 응집은 에칭 공정 중에 콘 결함(cone defect)을 야기할 수 있다. 제1 폴리머는 플루오르를 함유할 수 있거나 플루오르가 없을 수 있다. 바람직한 제1 폴리머는 포토레지스트 조성물을 제제화하는 데 사용된 것과 동일한 유기 용매(들)에 가용성이다. 바람직한 제1 폴리머는 또한 네거티브 톤 현상 공정에 사용되는 유기 현상액에서 노광 후 베이킹(예컨대, 60 초 동안 120°C)시 가용성이거나 가용성이 될 것이다.
논의된 바와 같이, 제1 폴리머는 바람직하게는 하기 화학식(I)에 상응하는 하나 이상의 모노머로 형성된 단위를 함유할 수 있다:
X1-R1-X2-R2-X3 (I)
여기서 X1은 메타크릴레이트 등의 아크릴레이트 또는 알킬 아크릴레이트와 같은 중합성 관능기이고; R1은 선택적으로 치환된 선형, 분지형 또는 고리형 지방족기 또는 방향족기, 적합하게 C1-15 알킬일 수 있고 선택적으로 플루오르화될 수 있으며, X2는 질소 등의 염기성 모이어티이고 R1의 성분일 수 있거나 R1과 함께 취해질 수 있고(예컨대, R1과 X2는 결합하여 피퍼리다이닐(piperdinyl) 모이어티를 형성할 수 있다), R2는 산 불안정기이며, X3은 선택적으로 치환된 선형, 분지형 또는 고리형 지방족기 또는 방향족기일 수 있다.
중합성 관능기 X1은 예를 들어 하기 일반식 (P-1), (P-2) 및 (P-3)에서, 선택될 수 있다:
Figure pat00012
식 중, R2는 수소, 플루오르 및 플루오르화 및 비플루오르화 C1 내지 C3 알킬에서 선택되고, X는 산소 또는 황이며,
Figure pat00013
식 중, R3은 수소, 플루오르 및 플루오르화 및 비플루오르화 C1 내지 C3 알킬에서 선택되고,
Figure pat00014
식 중, m은 0 내지 3의 정수이다.
예시적인 적합한 모노머가 이하에 기재되지만, 이들 구조로 제한되지는 않는다.
바람직하게는, 제1 폴리머는 하기 일반식 (I-1)에 상응하는 모노머로 형성된 하나 이상의 추가적인 별개의 단위(제2 단위)를 또한 포함한다:
Figure pat00015
식 중, R2는 수소, 플루오르 및 플루오르화 및 비플루오르화 C1 내지 C3 알킬에서 선택되고, X는 산소 또는 황이며, R4는 치환 또는 비치환 C1 내지 C20 선형, 분지형 및 고리형 탄화수소, 바람직하게는 플루오르화 및 비플루오르화 C1 내지 C15 알킬, 더욱 바람직하게는 플루오르화 및 비플루오르화 C3 내지 C8 알킬, 가장 바람직하게는 플루오르 화 및 비플루오르화 C4 내지 C5 알킬에서 선택되고, R4는 바람직하게 분지되어 액침 리소그래피에서 사용될 때 보다 높은 물 후퇴 접촉각을 제공하며, 플루오로알킬 및 플루오로알코올과 같은 할로알킬 및 할로알코올의 R4 치환이 적합하다.
논의된 바와 같이, 모노머, 폴리머 및 기타 물질의 다양한 모이어티는 선택적으로 치환될 수 있다(또는 "치환되거나 치환되지 않은" 것으로 명시될 수 있다). "치환된" 치환기는 하나 이상의 이용 가능한 위치, 전형적으로 1, 2, 또는 3 위치에서 하나 이상의 적합한 기 예를 들어 할로젠(특히 F, Cl 또는 Br); 사이아노; 나이트로; C1-8 알킬; C1-8 알콕시; C1-8 알킬싸이오; C1-8 알킬설포닐; C2-8 알케닐; C2-8 알카인일; 하이드록실; 나이트로; 알카노일 예컨대 C1-6 알카노일 예를 들면 아실, 할로알킬 특히 CF3와 같은 C1-8 할로알킬; -CONHR, -CONRR' 식 중 R 및 R'는 선택적으로 치환된 C1-8알킬; -COOH, COC, >C=O; 및 기타 등에 의해 치환될 수 있다.
화학식 (I-1)의 예시적인 적합한 모노머가 하기에 기재되어 있지만, 이들 구조로 제한되지는 않는다. 이들 구조의 목적에 있어서, "R2" 및 "X"는 화학식 I-1에 대해 상기 정의된 바와 같다.
Figure pat00016
Figure pat00017
Figure pat00018
Figure pat00019
Figure pat00020
본 발명의 포토레지스트 조성물에 유용한 예시적인 제1 폴리머는 다음을 포함한다. 이들 구조의 목적에 있어서, "R2" 및 "X"는 다음과 같이 정의된다: 각각의 R2는 독립적으로 수소, 플루오르 및 플루오르화 및 비플루오르화 C1 내지 C3 알킬에서 선택되고, 각각의 X는 독립적으로 산소 또는 황이다.
Figure pat00021
Figure pat00022
포토레지스트 조성물은 적절하게는 단일 제1 폴리머를 포함하지만 선택적으로 하나 이상의 추가의 제1 폴리머를 포함할 수 있다. 포토레지스트 조성물에 사용하기에 적합한 폴리머 및 모노머는 시판 중이고/이거나 당업자에 의해 용이하게 제조될 수 있다.
제1 폴리머는 전형적으로 포토레지스트 조성물 중에 비교적 소량으로, 예를 들어 포토레지스트 조성물의 총 고형분을 기준으로 0.1 내지 10 중량%, 바람직하게는 0.5 내지 5 중량%, 더욱 바람직하게는 1 내지 3 중량%의 양으로 존재한다. 제1 또는 첨가제 폴리머의 함량은 예를 들어 포토레지스트 층에서의 산 발생제의 함량, 제1 폴리머에서의 질소-함유 기의 함량, 및 리소그래피가 건조 또는 액침형 공정인지 여부에 따라 결정될 것이다. 예를 들어, 액침 리소그래피에 대한 제1 폴리머 하한은 일반적으로 레지스트 성분의 침출을 방지할 필요성에 의해 지시된다. 과도하게 높은 제1 폴리머 함량은 전형적으로 패턴 저하를 초래할 것이다. 표면 활성 폴리머의 중량 평균 분자량은 전형적으로 400,000 미만, 바람직하게는 3000 내지 50,000, 더욱 바람직하게는 3000 내지 25,000이다. 본 발명의 포토레지스트 조성물에 사용하기 위한 제1 폴리머를 제조하기 위한 적합한 제1 폴리머 및 모노머는 시판 중이고/이거나 당업자에 의해 제조될 수 있다.
감광성 조성물은 활성화 방사선에 노출 시 포토레지스트 조성물의 코팅층에 잠상(latent image)을 생성시키기에 충분한 양으로 사용되는 하나 이상의 광산 발생제를 포함할 수 있다. 예를 들어, 광산 발생제는 포토레지스트 조성물의 총 고형분을 기준으로 1 내지 20 중량%의 양으로 존재하는 것이 적합할 것이다. 전형적으로, 보다 적은 양의 광활성 성분이 화학 증폭형 레지스트에 적합할 것이다.
탑코트 조성물은 또한 하나 이상의 광산 발생제 화합물 및/또는 하나 이상의 열 산 발생 제 화합물을 포함하는 하나 이상의 산 발생제 화합물을 포함할 수 있다. 본원에 개시된 PAG 및 포토레지스트 조성물에 사용하기 위한 그의 양은 탑코트 조성물에 대해 적합하다. 비교적 소량의 PAG가 종종 탑코트 조성물에 적합하다.
적합한 PAG는, 화학 증폭형 포토레지스트의 당해 분야에 공지되어 있으며, 예를 들어 오늄 염, 예컨대, 트라이페닐설포늄 트라이플루오로메탄설포네이트, (p-tert-뷰톡시페닐)다이페닐설포늄 트라이플루오로메탄설포네이트, 트리스(p-tert 뷰톡시페닐)설포늄 트라이플루오로메탄설포네이트, 트라이페닐설포늄 p-톨루엔 설포네이트; 나이트로벤질 유도체, 예를 들어, 2-나이트로벤질-p-톨루엔설포네이트, 2,6-다이나이트로벤질-p-톨루엔설포네이트 및 2,4-다이나이트로벤질 p-톨루엔설포네이트; 설폰산 에스터, 예를 들어 1,2,3-트리스(메탄설포닐옥시)벤젠, 1,2,3-트리스(트라이플루오로메탄설포닐옥시)벤젠, 1,2,3-트리스(p-톨루엔설포닐옥시)벤젠; 다이아조메탄 유도체, 예를 들어, 비스(벤젠설포닐)다이아조메탄, 비스(p-톨루엔설포닐)다이아조메탄; 글리옥심 유도체, 예를 들어 비스-O-(p-톨루엔설포닐)-α-다이메틸글리옥심, 및 비스-O-(n-뷰탄설포닐)-α-다이메틸글리옥심; N-하이드록시이미드 화합물의 설폰산 에스터 유도체, 예를 들어 N-하이드록시숙신이미드 메탄설폰산 에스터, N-하이드록시숙신이미드 트라이플루오로메탄설폰산 에스터; 및 할로젠-함유 트라이아진 화합물, 예를 들어, 2-(4-메톡시페닐)-4,6-비스(트라이클로로메틸)-1,3,5-트라이아진, 및 2-(4-메톡시나프틸)-4,6-비스(트라이플루오로메틸)-1,3,5-트라이아진을 포함한다.
이러한 PAG 중 하나 이상이 사용될 수 있다.
본 발명의 포토레지스트 및 탑코트 조성물에 적합한 용매는 예를 들어: 글리콜 에터, 예컨대 2-메톡시에틸 에터(디글라임), 에틸렌 글리콜 모노메틸 에터 및 프로필렌 글리콜 모노메틸 에터; 프로필렌 글리콜 모노메틸 에터 아세테이트; 락테이트, 예컨대 메틸 락테이트 및 에틸 락테이트; 프로피오네이트, 예컨대 메틸 프로피오네이트, 에틸 프로피오네이트, 에틸 에톡시 프로피오네이트 및 메틸-2-하이드록시 아이소뷰티레이트; 셀로솔브 에스터, 예컨대 메틸 셀로솔브 아세테이트; 방향족 탄화수소, 예컨대 톨루엔 및 자일렌; 및 케톤, 예컨대 메틸에틸 케톤, 사이클로헥산온 및 2-헵탄온을 포함한다. 전술한 2개, 3개 또는 그 이상의 용매의 혼합과 같은 용매의 혼합이 또한 적합하다. 용매는 전형적으로 포토레지스트 조성물의 총 중량을 기준으로 90 내지 99 중량%, 보다 전형적으로 95 내지 98 중량%의 양으로 조성물 중에 존재한다.
포토레지스트 조성물을 위한 다른 선택적 첨가제는 예를 들어 화학선 및 대조 염료, 줄 방지제(anti-striation agents), 가소제, 속도 향상제, 증감제 등을 포함한다. 이러한 선택적인 첨가제가 사용된다면, 전형적으로는 포토레지스트 조성물의 총 고형분을 기준으로 0.1 내지 10 중량%와 같은 소량으로 조성물 중에 존재하지만, 충전제 및 염료는 비교적 큰 농도, 예를 들어 포토레지스트 조성물의 총 고형분을 기준으로 5 내지 30 중량%의 양으로 존재할 수 있다.
본 발명의 레지스트 조성물의 바람직한 선택적 첨가제는 현상된 레지스트 릴리프 이미지의 해상도를 향상시킬 수있는 첨가 염기이다. 적합한 염기성 ?처(basic quencher)는 예를 들어 선형 및 고리형 아미드 및 그의 유도체, 예컨대 N,N-비스(2-하이드록시에틸)피발라미드, N,N-다이에틸아세트아미드, N1,N1,N3,N3-테트라뷰틸말론아미드, 1-메틸아제판-2-온, 1-알릴아제판-2-온 및 tert-뷰틸 1,3-다이하이드록시-2-(하이드록시메틸)프로판-2-일카바메이트; 방향족 아민, 예컨대 피리딘 및 다이-tert-뷰틸 피리딘; 지방족 아민, 예컨대 트라이아이소프로판올아민, n-tert-뷰틸다이에탄올아민, 트리스(2-아세톡시-에틸) 아민, 2,2',2",2"'-(에탄-1,2-다이일비스(아자네트라이일))테트라에탄올; 및 2-(다이뷰틸아미노)에탄올, 2,2',2"-나이트릴로트라이에탄올; 고리형 지방족 아민, 예컨대 1-(tert-뷰톡시카보닐)-4-하이드록시피페리딘, tert-뷰틸 1-피롤리딘카복실레이트, tert-뷰틸 2-에틸-1H-이미다졸-1-카복실레이트, 다이-tert-뷰틸 피페라진-1,4-다이카복실레이트 및 N(2-아세톡시-에틸)모르폴린을 포함한다. 이러한 염기성 ?처 중, 1-(tert-뷰톡시카보닐)-4-하이드록시피페리딘 및 트라이아이소프로판올아민이 바람직하다. 첨가된 염기는 상대적으로 소량, 예를 들어 PAG에 대해 1 내지 20 중량%, 보다 전형적으로 PAG에 대해 5 내지 15 중량%로 적합하게 사용된다.
본 발명에 따라 사용될 수있는 포토레지스트 및 탑코트 조성물은 일반적으로 공지된 절차에 따라 제조된다. 예를 들어, 본 발명의 레지스트는 포토레지스트의 성분을 적합한 용매에, 예를 들어 글리콜 에터, 예컨대 2-메톡시에틸 에터(디글라임), 에틸렌 글리콜 모노메틸 에터, 프로필렌 글리콜 모노메틸 에터; 프로필렌 글리콜 모노메틸 에터 아세테이트; 락테이트, 예컨대 에틸 락테이트 또는 메틸 락테이트, 바람직하게는 에틸 락테이트; 프로피오네이트, 특히 메틸 프로피오네이트, 에틸 프로피오네이트 및 에틸 에톡시 프로피오네이트; 셀로솔브 에스터, 예컨대 메틸 셀로솔브 아세테이트; 방향족 탄화수소, 예컨대 톨루엔 또는 자일렌; 또는 케톤, 예컨대 메틸에틸 케톤, 사이클로헥산온 및 2-헵탄온 중 하나 이상에 용해시킴으로써 코팅 조성물로 제조될 수 있다. 포토레지스트의 원하는 총 고형분 함량은 조성물 중의 특정 폴리머, 최종 층 두께 및 노광 파장과 같은 인자에 따라 결정될 것이다. 전형적으로, 포토레지스트의 고형분 함량은 포토레지스트 조성물의 총 중량을 기준으로 1 내지 10 중량%, 보다 전형적으로 2 내지 5 중량%로 다양하다.
본 발명은 본 발명의 포토레지스트를 사용하여 포토레지스트 릴리프 이미지를 형성하고 전자 소자를 제조하는 방법을 추가로 제공한다. 본 발명은 또한 본 발명의 포토레지스트 조성물로 코팅된 기판을 포함하는 신규한 제품을 제공한다.
리소그래피 공정에서, 포토레지스트 조성물은 다양한 기판상에 도포될 수 있다. 기판은 실리콘 또는 화합물 반도체(예컨대, III-V 또는 II-VI), 유리, 석영, 세라믹, 구리 등과 같은 반도체와 같은 재료일 수 있다. 전형적으로, 기판은 단결정 실리콘 또는 화합물 반도체 웨이퍼와 같은 반도체 웨이퍼이고, 그 표면상에 형성된 하나 이상의 층 및 패턴화된 피처(feature)를 가질 수 있다. 패턴화될 하나 이상의 층이 기판 위에 걸쳐 제공될 수 있다. 선택적으로, 예를 들어, 기판 재료에 트렌치를 형성하고자 할 때, 하부의 베이스 기판 재료 자체가 패턴화될 수 있다. 베이스 기판 재료 자체를 패턴화하는 경우, 패턴은 기판 층에 형성되는 것으로 간주되어야 한다.
층은 예를 들어 하나 이상의 도전층(conductive layer), 예컨대 알루미늄, 구리, 몰리브덴, 탄탈럼, 타이타늄, 텅스텐, 이러한 금속의 합금, 질화물 또는 규화물, 도핑된 비정질 실리콘 또는 도핑된 폴리실리콘의 층, 하나 이상의 유전체층, 예컨대 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 또는 금속 산화물의 층, 반도체 층, 예컨대 단결정 실리콘 및 이들의 조합을 포함할 수 있다. 에칭될 층들은 화학적 기상 증착(CVD), 예컨대 플라즈마 강화 CVD, 저압 CVD 또는 에피택셜(epitaxial) 성장, 물리적 기상 증착(PVD), 예컨대 스퍼터링 또는 증발, 또는 전기 도금과 같은 다양한 기술에 의해 형성될 수 있다. 에칭될 하나 이상의 층(102)의 특정 두께는 형성되는 재료 및 특정 소자에 따라 달라질 것이다.
에칭될 특정 층, 필름 두께 및 포토리소그래피 재료 및 사용되는 공정에 따라, 포토레지스트 층이 그 위에 걸쳐 코팅되는 하드마스크 층 및/또는 저부 반사 방지 코팅(bottom anti-reflection coating, BARC)을 층 위에 배치하는 것이 바람직할 수 있다. 예를 들어, 에칭될 층이 상당한 에칭 깊이를 필요로 하고/하거나 특정 에칭제가 열악한 레지스트 선택성을 갖는 경우에 매우 얇은 레지스트 층과 함께 하드마스크 층을 사용하는 것이 바람직할 수 있다. 하드마스크 층이 사용되는 경우, 형성되는 레지스트 패턴은 하드마스크 층으로 전사될 수 있으며, 이어서 하부 층을 에칭하기 위한 마스크로서 사용될 수 있다. 적합한 하드마스크 재료 및 형성 방법은 당업계에 공지되어 있다. 전형적인 재료는 예를 들어 텅스텐, 타이타늄, 질화 타이타늄, 산화 타이타늄, 산화 지르코늄, 산화 알루미늄, 산질화 알루미늄, 산화 하프늄, 비정질 탄소, 실리콘 산질화물 및 실리콘 질화물을 포함한다. 하드마스크 층은 상이한 재료의 단일 층 또는 복수의 층을 포함할 수 있다. 하드마스크 층은 예를 들어 화학적 또는 물리적 기상 증착 기술에 의해 형성될 수 있다.
포토레지스트 노광 중에 기판 및/또는 하부 층이 상당한 양의 입사 방사선을 반사하여 형성된 패턴의 품질에 악영향을 미치게 되는 경우 저부 반사 방지 코팅은 바람직할 수 있다. 이러한 코팅은 초점 심도, 노광 관용도, 선폭 균일성 및 CD 제어를 향상시킬 수 있다. 반사 방지 코팅은 전형적으로 레지스트가 원자외선(300nm 이하), 예를 들어 KrF 엑시머 레이저 광(248nm) 또는 ArF 엑시머 레이저 광(193nm)에 노광되는 경우 사용된다. 반사 방지 코팅은 단일 층 또는 복수의 상이한 층을 포함할 수 있다. 적합한 반사 방지 재료 및 형성 방법은 당업계에 공지되어 있다. 반사 방지 재료는 시판 중이며, 예를 들어 AR™ 40A 및 AR™ 124 반사 방지 재료와 같은 Rohm and Haas Electronic Materials LLC(Marlborough, MA USA)에 의해 AR™ 상표로 시판 중이다.
전술한 바와 같은 본 발명의 조성물로 형성된 포토레지스트 층이 기판상에 도포된다. 포토레지스트 조성물은 전형적으로 스핀 코팅에 의해 기판에 도포된다. 본원에 개시된 제1 및 제2 폴리머 모두를 포함하는 레지스트 조성물에서, 스핀-코팅 중에, 포토레지스트의 제1 폴리머는 전형적으로 상부 표면 바로 아래의 영역에서 제2 폴리머와 혼합된 형성 레지스트 층의 상부 표면으로 분리된다. 스핀 코팅시, 코팅 용액의 고형분 함량은 사용된 특정 코팅 장치, 용액의 점도, 코팅 도구의 속도 및 방사 허용 시간에 기초하여 원하는 필름 두께를 제공하도록 조정될 수 있다. 포토레지스트 층의 통상적인 두께는 약 500 내지 3000Å이다.
이어서, 포토레지스트 층을 소프트 베이킹하여 층의 용매 함량을 최소화함으로써, 무점성(tact-free) 코팅을 형성하고 기판에 대한 층의 접착성을 향상시킬 수 있다. 소프트 베이킹은 핫 플레이트 또는 오븐에서 수행될 수 있으며 핫 플레이트가 일반적이다. 소프트 베이킹 온도 및 시간은, 예를 들어, 포토레지스트의 특정 재료 및 두께에 따라 결정될 것이다. 전형적인 소프트 베이킹은 약 90 내지 150℃의 온도 및 약 30 내지 90초의 시간에서 수행된다.
탑코트 조성물은 공지된 절차에 따라 이용되고 도포된 포토레지스트 위에 걸쳐 코팅될 수 있다. 본 탑코트 조성물에 사용될 수 있는 탑코트 조성물을 사용하는 절차에 대해서는 제US20170090287호를 참조한다. 적합하게는, 탑코트 조성물은 액침 노광 프로토콜과 함께 이용된다.
이어서, 포토레지스트 층은 노광 영역과 미노광 영역 사이의 용해도 차이를 생성하기 위해 포토마스크를 통해 활성화 방사선에 적절히 노광된다. 조성물 용으로 활성화되는 방사선에 포토레지스트 조성물을 노광시키는 것에 대한 본원에서의 참조는 방사선이 포토레지스트 조성물에 잠상을 형성할 수 있음을 나타낸다. 포토마스크는 후속하는 현상 단계에서 각각 남겨두고 제거될 레지스트 층의 영역에 상응하는 광학적으로 투명한 영역 및 광학적으로 불투명한 영역을 갖는다. 노광 파장은 전형적으로 서브-400nm, 서브-300nm 또는 서브-200nm이며, 248nm, 193nm 및 EUV 파장이 전형적이다. 포토레지스트 재료는 전자 빔 노광과 함께 추가로 사용될 수 있다. 본 방법은 액침 또는 건조(비액침) 리소그래피 기술에서 사용된다. 노광 에너지는 전형적으로 약 10 내지 80 mJ/cm2이고, 노광 도구 및 감광성 조성물의 성분에 따라 결정된다.
포토레지스트 층의 노광 후, 노광 후 베이킹(PEB)이 수행된다. PEB는 예를 들어 핫 플레이트 또는 오븐에서 수행될 수 있다. PEB에 대한 조건은, 예를 들어 특정 포토레지스트 조성물 및 층 두께에 따라 결정될 것이다. PEB는 전형적으로 약 80 내지 150℃의 온도 및 약 30 내지 90초의 시간에서 수행된다. (각각 노광 영역과 미노광 영역에 상응하는) 극성 전환 영역과 비전환 영역 사이의 경계(파선)에 의해 정의된 잠상이 포토레지스트에 형성된다. 노광 후 베이킹 중에 탈보호된 제1 폴리머의 염기성 모이어티(예컨대, 아민)는 미광 또는 산란광이 존재할 수 있는 경우 포토레지스트 층의 어두운 영역에서 극성 전환을 방지하여 수직 벽이 있는 잠상을 형성하는 것으로 여겨진다. 이는 어두운 영역에서 PAG에 의해 발생된 산의 중화 결과이다. 그 결과, 이들 영역에서 산 불안정기의 절단이 실질적으로 방지될 수 있다.
이어서, 노광된 포토레지스트 층은 적합하게 현상되어 포토레지스트 층의 미노광 영역을 제거한다. 논의된 바와 같이, 현상액은 유기 현상액, 예를 들어 케톤, 에스터, 에터, 탄화수소 및 이들의 혼합물로부터 선택된 용매일 수 있다. 적합한 케톤 용매는 예를 들어 아세톤, 2-헥산온, 5-메틸-2-헥산온, 2-헵탄온, 4-헵탄온, 1-옥탄온, 2-옥탄온, 1-노난온, 2-노난온, 다이아이소뷰틸 케톤, 사이클로헥산온, 메틸사이클로헥산온, 페닐아세톤, 메틸 에틸 케톤 및 메틸 아이소뷰틸 케톤을 포함한다. 적합한 에스터 용매는 예를 들어 메틸 아세테이트, 뷰틸 아세테이트, 에틸 아세테이트, 아이소프로필 아세테이트, 아밀 아세테이트, 프로필렌 글리콜 모노메틸 에터 아세테이트, 에틸렌 글리콜 모노에틸 에터 아세테이트, 다이에틸렌 글리콜 모노뷰틸 에터 아세테이트, 다이에틸렌 글리콜 모노에틸 에터 아세테이트, 에틸-3-에톡시프로피오네이트, 3-메톡시뷰틸 아세테이트, 3-메틸-3-메톡시뷰틸 아세테이트, 메틸 포메이트, 에틸 포메이트, 뷰틸 포메이트, 프로필 포메이트, 에틸 락테이트, 뷰틸 락테이트 및 프로필 락테이트를 포함한다. 적합한 에터 용매는, 예를 들어 다이옥세인, 테트라하이드로퓨란, 에틸렌 글리콜 에터 용매, 예를 들어 에틸렌 글리콜 모노메틸 에터, 프로필렌 글리콜 모노메틸 에터, 에틸렌 글리콜 모노에틸 에터, 프로필렌 글리콜 모노에틸 에터, 다이에틸렌 글리콜 모노메틸 에터, 트라이에틸렌 글리콜 모노에틸 에터, 메톡시메틸 뷰탄올을 포함한다. 적합한 아미드 용매는, 예를 들어 N-메틸-2-피롤리돈, N,N-다이메틸아세트아미드 및 N,N-다이메틸폼아미드를 포함한다. 적합한 탄화수소 용매는, 예를 들어 톨루엔 및 자일렌과 같은 방향족 탄화수소 용매를 포함한다. 또한, 이들 용매의 혼합물, 또는 전술한 것 이외의 용매와 혼합되거나 물과 혼합된 열거된 용매 중 하나 이상이 사용될 수 있다. 다른 적합한 용매는 포토레지스트 조성물에 사용되는 용매를 포함한다. 현상액은 바람직하게는 2-헵탄온 또는 n-뷰틸 아세테이트와 같은 뷰틸 아세테이트이다.
유기 용매의 혼합물은 현상액, 예를 들어 제1 및 제2 유기 용매의 혼합물로 사용될 수 있다. 제1 유기 용매는 하이드록시 알킬 에스터, 예컨대 메틸-2-하이드록시아이소뷰티레이트 및 에틸 락테이트; 선형 또는 분지형 C5 내지 C6 알콕시 알킬 아세테이트, 예컨대 프로필렌 글리콜 모노메틸 에터 아세테이트(PGMEA)에서 선택될 수 있다. 제1 유기 용매 중, 2-헵탄온 및 5-메틸-2-헥산온이 바람직하다. 제2 유기 용매는 선형 또는 분지형 C6 내지 C8 알킬 에터, 예컨대 n-뷰틸 아세테이트, n-펜틸 아세테이트, n-뷰틸 프로피오네이트, n-헥실 아세테이트, n-뷰틸 뷰티레이트 및 아이소뷰틸 뷰티레이트; 선형 또는 분지형 C8 내지 C9 케톤, 예컨대 4-옥탄온, 2,5-다이메틸-4-헥산온 및 2,6-다이메틸-4-헵탄온에서 선택될 수 있다. 제2 유기 용매 중, n-뷰틸 아세테이트, n-뷰틸 프로피오네이트 및 2,6-다이메틸-4-헵탄온이 바람직하다. 제1 및 제2 유기 용매의 바람직한 조합은 2-헵탄온/n-뷰틸 프로피오네이트, 사이클로 헥산온/n-뷰틸 프로피오네이트, PGMEA/n-뷰틸 프로피오네이트, 5-메틸-2-헥산온/n-뷰틸 프로피오네이트, 2-헵탄온/2,6-다이메틸-4-헵탄온 및 2-헵탄온/n- 뷰틸 아세테이트를 포함한다. 이들 중, 2-헵탄온/n-뷰틸 아세테이트 및 2-헵탄온/n-뷰틸 프로피오네이트가 특히 바람직하다.
유기 용매는 전형적으로 현상액의 총 중량을 기준으로 90 중량% 내지 100 중량%, 보다 전형적으로 95 중량% 초과, 98 중량% 초과, 99 중량% 초과 또는 100 중량%의 조합된 양에서 현상액에 존재한다.
현상액은 또한 TMAH 조성물과 같은 수성 알칼리성 조성물일 수 있다. 수성 알칼리성 현상액은 시판 중이다.
현상액 물질은 선택적으로 첨가제, 예를 들어 포토레지스트와 관련하여 전술한 바와 같이 계면 활성제를 포함할 수 있다. 이러한 선택적 첨가제는 전형적으로 적은 농도로, 예를 들어 현상액의 총 중량을 기준으로 약 0.01 내지 5 중량%의 양으로 존재할 것이다.
현상액은 전형적으로 스핀-코팅에 의해 기판에 도포된다. 현상 시간은 포토레지스트의 미노광 영역을 제거하는 데 효과적인 기간이며, 전형적으로 5 내지 30 초의 시간이다. 현상은 전형적으로 실온에서 수행된다. 현상 공정은 현상 후 세정 린스를 사용하지 않고 수행될 수 있다. 이와 관련하여, 현상 공정은 여분의 린스 단계가 불필요한 무잔여물(residue-free) 웨이퍼 표면을 생성할 수 있음이 밝혀졌다.
BARC 층이 존재하는 경우, 에칭 마스크로서 레지스트 패턴을 사용하여 선택적으로 에칭되어, 하부 하드마스크 층을 노광시킨다. 다음으로 하드마스크 층은 에칭 마스크로서 레지스트 패턴을 다시 사용하여 선택적으로 에칭되어 패턴화된 BARC 및 하드마스크 층을 생성한다. BARC 층 및 하드마스크 층을 에칭하기 위한 적합한 에칭 기술 및 화학 반응은 당업계에 공지되어 있고, 예를 들어 이들 층의 특정 재료에 따라 결정될 것이다. 반응성 이온 에칭과 같은 건식 에칭 공정이 전형적이다. 다음으로 레지스트 패턴 및 패턴화된 BARC 층은 공지된 기술, 예를 들어 산소 플라즈마 애싱(ashing)을 사용하여 기판으로부터 제거된다.
다음의 비-제한적인 실시예는 본 발명을 예시한다.
실시예
분자량 측정:
다음의 실시예에서, 굴절률 검출기가 장착된 Waters alliance system에서 겔 투과 크로마토그래피(GPC)에 의해 폴리머의 수 및 중량 평균 분자량, Mn 및 Mw 및 다분산도(PDI) 값 (Mw/Mn)을 측정하였다. 샘플을 대략 1 mg/mL의 농도로 HPLC 등급 THF에 용해시키고 4개의 Shodex 컬럼(KF805, KF804, KF803 및 KF802)을 통해 주입하였다. 1 mL/분의 유속 및 35℃의 온도를 유지하였다. 컬럼을 좁은 분자량 PS 표준(EasiCal PS-2, Polymer Laboratories, Inc.)으로 보정하였다.
실시예 1-2: 수지 제조
다음의 모노머를 사용하여 후술한 바와 같이 플루오르 함유 폴리머 B1 및 B2를 제조하였다.
Figure pat00023
실시예 1: 폴리머 B1 합성:
프로필렌 글리콜 모노메틸 에터 아세테이트(PGMEA) 139.5g, 모노머 M1 150.0g 및 모노머 M2 30.0g을 결합하여 모노머 공급 용액을 제조하였다. 개시제 공급 용액은 59.0g PGMEA 및 4.45g V-601을 결합하여 제조하였다. 혼합물을 교반하여 성분을 용해시켰다. 167.5g PGMEA를 반응 용기에 도입하고, 용기를 질소로 30분 동안 퍼징하였다. 이어서, 반응 용기를 교반하면서 80℃로 가열하였다. 그런 다음, 모노머 공급 용액을 반응 용기에 도입하고 2시간에 걸쳐 공급하고, 개시제 공급 용액을 3시간에 걸쳐 반응 용기에 동시에 공급하였다. 반응 용기를 교반하면서 추가 3시간 동안 80℃에서 유지시킨 후, 실온으로 냉각되게 하였다. 반응 혼합물을 500 mL의 테트라하이드로퓨란으로 희석시킨 후, 반응 혼합물을 10L의 4/1 메탄올/물(v/v)에 적가함으로써 폴리머를 침전시켰다. 고형 폴리머를 여과하여 회수하고, 진공에서 건조시켰다. 폴리머 B1을 백색 고형 분말로서 수득하였다[수율: 143g, Mw = 34.3 kDa, PDI = 2.4].
실시예 2: 폴리머 B2 합성:
프로필렌 글리콜 모노메틸 에터 아세테이트(PGMEA) 89.1g, 모노머 M1 188.0g 및 모노머 M3 12.0g을 결합하여 모노머 공급 용액을 제조하였다. 80.9g PGMEA 및 10.0g V-601을 결합하여 개시제 공급 용액을 제조하였다. 혼합물을 교반하여 성분을 용해시켰다. 120.0g PGMEA를 반응 용기에 도입하고, 용기를 질소로 30분 동안 퍼징하였다. 이어서, 반응 용기를 교반하면서 90℃로 가열하였다. 그런 다음, 모노머 공급 용액을 반응 용기에 도입하고 2시간에 걸쳐 공급하고, 개시제 공급 용액을 3시간에 걸쳐 반응 용기에 동시에 공급하였다. 반응 용기를 교반하면서 추가 7시간 동안 90℃에서 유지시킨 후, 실온으로 냉각되게 하였다. 반응 혼합물을 500 mL의 테트라하이드로퓨란으로 희석시킨 후, 반응 혼합물을 10L의 4/1 메탄올/물(v/v)에 적가함으로써 폴리머를 침전시켰다. 고형 폴리머를 여과하여 회수하고, 진공에서 건조시켰다. 폴리머 B2를 백색 고형 분말로서 수득하였다[수율: 170g, Mw = 10.3 kDa, PDI = 2.2].
실시예 3: 포토레지스트 조성물 제조
레지스트 성분:
다음의 성분을 사용하여 하기 표 1에 기재된 바와 같이 레지스트 조성물을 제조하였다.
Figure pat00024
레지스트 첨가제:
다음 첨가제를 사용하여 표 1에 기재된 바와 같이 레지스트 조성물을 제조하였다.
Figure pat00025
레지스트 조성물 제조:
표 1에 기재된 양의 프로필렌 글리콜 모노메틸 에터 아세테이트(PGMEA) 및 메틸 2-하이드록시뷰티레이트(HBM)의 중량으로 1/1을 포함하는 용매계에 상기 성분을 첨가하여 레지스트 조성물을 제형화하였다. 각 혼합물을 0.2 ㎛ PTFE 디스크를 통해 여과하였다.
Figure pat00026
실시예 4: 레지스트 평가
NMR 실험:
레지스트 조성물을 35℃에서 지정된 시간 동안 저장하였고, 그 결과 d6-아세톤으로 절반으로 희석하고 19F NMR 스펙트럼을 플루오르 함유 폴리머 B의 퍼센트 분해를 결정하기 위해 수집하였다. 이는 폴리머에 상응하는 플루오르 피크와 분해 산물에 상응하는 플루오르 피크의 적분을 비교함으로써 수행되었다.
19F NMR 스펙트럼은 26℃에서 5mm SMARTProbeTM가 있는 Bruker AVANCE III HD 600MHz 분광계에서 수득되었다. 모든 NMR 스펙트럼은 MestReNova 6.2.1을 사용하여 처리된다. 분석 데이터는 표 2에 나타내었다.
Figure pat00027
저장 수명 안정성 실험:
레지스트 조성물을 35℃에서 지정된 시간 동안 저장하였고, 그 결과 후진 접촉각 및 리소그래피 성능에 대해 테스트했다.
후진 접촉각(RCA) 측정:
TEL ACT-8 트랙상에서, 200mm 실리콘 웨이퍼를 120°C에서 30초 동안 헥사메틸다이실라잔(HMDS)으로 가득 채웠다. 레지스트 조성물을 85℃의 소프트 베이킹을 이용하여 1,000 Å의 두께로 60초 동안 코팅하였다. 후진 물 접촉각을 탈이온화 Millipore 여과수를 사용하여 Kruss 접촉각 측각기를 사용하여 측정하였다. 후진 접촉각 측정을 위해, DI 물의 액적 크기는 50 ㎕였고, 웨이퍼 스테이지 기울임 속도는 1°/초였다.
리소그래피 공정:
레지스트 조성물을 리소그래피에 의해 다음과 같이 평가하였다. 200mm 웨이퍼를 XU080538AA 하부층으로 제1 스핀 코팅하였고, 60초 동안 240℃에서 베이킹하여 135nm 필름을 형성하였다. 이어서, SiARC를 최상부에 스핀-코팅하고 240℃에서 60초 동안 베이킹하여 22nm 필름을 형성하였다. 마지막으로, 레지스트 조성물을 최상부에 스핀-코팅하여 100nm 필름을 형성하고, 85℃에서 60초 동안 소프트 베이킹하였다. 그런 다음, 코팅된 웨이퍼는 ArF 노광 장치 ASML-1100, NA = 0.75의 통상의 조명하에서 조밀한 공간을 갖는 마스크 패턴을 통해 ArF 엑시머 레이저(193nm)로 노광되었다. 그 후, 웨이퍼를 95℃에서 60초 동안 베이킹한 다음, 0.26N 수성 테트라메틸암모늄 하이드록사이드(TMAH) 수용액으로 현상하고 이어서 물로 세척하였다. 200K 배율을 사용하고 800 볼트(V)의 가속 전압, 8.0 피코암페어(pA)의 전류 프로브에서 작동하는 Hitachi 9380 CD-SEM을 사용하여 하향식 주사 전자 현미경(SEM)으로 캡처한 이미지를 처리함으로써 임계 치수(CD)를 측정하였다. 100nm의 조밀한 트렌치를 100nm와 200nm 피치의 마스크 CD로 표적화하였다. CD의 측정을 위해 63개 부위를 평균화했다.
Figure pat00028
실시예 5: 탑코트 조성물
본 발명의 오버코트 또는 탑코트 조성물은 다음 성분, IBIB 중의 폴리머-B 용액(20 %) 5.14g, IBIB 중의 ?처-A 용액(1 %) 2.21g 및 IBIB 92.7g을 혼합하여 제조한 다음, 이 혼합물을 0.2 마이크론 나일론 필터로 여과하였다.
실시예 6: 액침 리소그래피
300mm HMDS 프라이밍 실리콘 웨이퍼를 ARTM26N(Rohm and Haas Electronic Materials)으로 스핀-코팅하여 TEL CLEAN TRAC LITHIUS i+상에 제1 저부 반사 방지 코팅(BARC)을 형성한 다음, 60초 동안 205℃에서 베이킹 처리하였다.
실시예 3(레지스트 R2)의 포토레지스트 조성물의 코팅층을 BARC 층 위에 걸쳐 스핀 코팅하였다. 실시예 5의 탑코트 조성물은 실시예 3(레지스트 R2)의 포토레지스트 조성물의 코팅층을 갖는 실리콘 웨이퍼상에 스핀 코팅된다.
이어서, 제조된 필름은 다음과 같은 조명 조건을 이용하여 니콘 S306C의 ArF 액침 스캐너상의 마스크를 통해 노광된다: NA 1.3, XY 편광을 갖는 환형, δ0.64~0.8. 조사선량(exposure dose) i는 1 mJ/cm2 단위로 23.0 mJ/cm2 내지 47.0 mJ/cm2로 다양하게 했다. 이어서, 노광된 필름을 90℃에서 60초 동안 노광 후 베이킹한 후, 0.26N TMAH 수성 현상액으로 현상하였다.

Claims (11)

  1. 포토레지스트 조성물로서,
    (a) 제1 매트릭스 폴리머;
    (b) 하나 이상의 산발생제; 및
    (c) 하기 화학식(1) 또는 화학식(2)의 구조를 갖는 하나 이상의 첨가제 화합물을 포함하는, 포토레지스트 조성물:
    Figure pat00029

    이들 화학식(1) 및 화학식(2) 각각에서, R1 및 R2는 각각 동일하거나 상이한 비수소 치환기이고;
    각각의 화학식에서 X는 다음 중 하나이며:
    Figure pat00030

    식 중, R3은 비수소 치환기이고,
    각각의 n은 1 내지 5의 양의 정수임.
  2. 제1항에 있어서, 상기 제1 폴리머와는 다른 제2 폴리머를 더 포함하는, 포토레지스트 조성물.
  3. 제2항에 있어서, 상기 제2 폴리머는 불화된, 포토레지스트 조성물.
  4. 제2항에 있어서, 상기 제2 폴리머는 하기 화학식(3)의 반복 단위를 포함하는, 포토레지스트 조성물:
    Figure pat00031

    화학식(3)에서 R4 및 R5는 각각 독립적으로 수소, 할로젠, C1-8 알킬기, 또는 C1-8 할로알킬기이고, L은 선택적으로 치환된 다가 연결기임.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 첨가제는 무수물인, 포토레지스트 조성물.
  6. 오버코팅된 포토레지스트에 사용하기 위한 탑코트(topcoat) 조성물로서,
    (a) 제1 매트릭스 폴리머;
    (b) 상기 제1 폴리머와는 다른 제2 폴리머; 및
    (c) 하기 화학식(1) 또는 화학식(2)의 구조를 갖는 하나 이상의 첨가제 화합물을 포함하는, 탑코트 조성물:
    Figure pat00032

    이들 화학식(1) 및 화학식(2) 각각에서, R1 및 R2는 각각 동일하거나 상이한 비수소 치환기이고;
    각각의 화학식에서 X는 다음 중 하나이며:
    Figure pat00033

    식 중, R3은 비수소 치환기이고,
    각각의 n은 1 내지 5의 양의 정수임.
  7. 제6항에 있어서, 상기 제2 폴리머는 불화된, 탑코트 조성물.
  8. 제7항 또는 제8항에 있어서, 상기 제2 폴리머는 하기 화학식(3)의 반복 단위를 포함하는, 탑코트 조성물:
    Figure pat00034

    화학식(3)에서 R4 및 R5는 각각 독립적으로 수소, 할로젠, C1-8 알킬기, 또는 C1-8 할로알킬기이고, L은 선택적으로 치환된 다가 연결기임.
  9. 제6항 내지 제8항 중 어느 한 항에 있어서, 상기 첨가제는 무수물인, 탑코트 조성물.
  10. 포토리소그래피 패턴을 형성하는 방법으로서,
    (a) 기판상에 제1항 내지 제5항 중 어느 한 항의 포토레지스트 조성물의 층을 도포하는 단계;
    (b) 상기 포토레지스트 조성물 층을 활성화 조사선에 패턴 방식으로 노광하는 단계; 및
    (c) 노광된 상기 포토레지스트 조성물 층을 현상하여 포토레지스트 릴리프 이미지를 제공하는 단계를 포함하는, 방법.
  11. 포토레지스트 조성물을 처리하는 방법으로서,
    (a) 기판상에 포토레지스트 조성물의 층을 도포하는 단계;
    (b) 상기 포토레지스트 조성물 층 위에, 제6항 내지 제9항 중 어느 한 항의 조성물의 층을 도포하여 탑코트 층을 형성하는 단계;
    (c) 상기 탑코트 층 및 포토레지스트 층을 활성화 조사선에 패턴 방식으로 노광하는 단계; 및
    (d) 노광된 상기 포토레지스트 조성물 층을 현상하여 포토레지스트 릴리프 이미지를 제공하는 단계를 포함하는, 방법.
KR1020180172651A 2017-12-31 2018-12-28 포토레지스트 조성물 및 방법 KR102177417B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762612582P 2017-12-31 2017-12-31
US62/612,582 2017-12-31

Publications (2)

Publication Number Publication Date
KR20190082672A true KR20190082672A (ko) 2019-07-10
KR102177417B1 KR102177417B1 (ko) 2020-11-11

Family

ID=67058209

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180172651A KR102177417B1 (ko) 2017-12-31 2018-12-28 포토레지스트 조성물 및 방법

Country Status (5)

Country Link
US (1) US11829069B2 (ko)
JP (1) JP6730417B2 (ko)
KR (1) KR102177417B1 (ko)
CN (1) CN109991809B (ko)
TW (1) TWI686381B (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7449081B2 (ja) 2018-12-14 2024-03-13 住友化学株式会社 レジスト組成物及びレジストパターンの製造方法
KR20210077852A (ko) * 2019-12-17 2021-06-28 삼성전자주식회사 레지스트 조성물 및 이를 사용한 반도체 소자 제조 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012032446A (ja) * 2010-07-28 2012-02-16 Fujifilm Corp パターン形成方法及びこの方法に用いられる有機系処理液
JP2013097295A (ja) * 2011-11-04 2013-05-20 Fujifilm Corp パターン形成方法及びその方法に用いる架橋層形成用組成物
JP2017068257A (ja) * 2015-10-02 2017-04-06 住友化学株式会社 レジスト組成物及びレジストパターンの製造方法
JP2017068259A (ja) * 2015-09-30 2017-04-06 ローム・アンド・ハース・エレクトロニック・マテリアルズ・コリア・リミテッド フォトリソグラフィのためのオーバーコート組成物及び方法

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5148322A (en) * 1989-11-09 1992-09-15 Omron Tateisi Electronics Co. Micro aspherical lens and fabricating method therefor and optical device
EP0605089B1 (en) 1992-11-03 1999-01-07 International Business Machines Corporation Photoresist composition
JPH08328254A (ja) * 1995-05-29 1996-12-13 Oki Electric Ind Co Ltd 放射線感応性樹脂組成物
TW477913B (en) * 1995-11-02 2002-03-01 Shinetsu Chemical Co Sulfonium salts and chemically amplified positive resist compositions
AU725653B2 (en) 1996-03-07 2000-10-19 B.F. Goodrich Company, The Photoresist compositions comprising polycyclic polymers with acid labile pendant groups
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US5861231A (en) 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
JP3814961B2 (ja) * 1996-08-06 2006-08-30 三菱化学株式会社 ポジ型感光性印刷版
US6090526A (en) 1996-09-13 2000-07-18 Shipley Company, L.L.C. Polymers and photoresist compositions
EP0843218B1 (en) 1996-11-14 2008-01-16 FUJIFILM Corporation Photosensitive composition
KR100220951B1 (ko) 1996-12-20 1999-09-15 김영환 비닐 4-테트라히드로피라닐옥시벤잘-비닐 4-히드록시벤잘-비닐 테트라히드로피라닐에테르-비닐 아세테이트 공중합체, 비닐 4-테트라히드로피라닐옥시벤잘-비닐 테트라히드로피라닐에테르-비닐 아세테이트 공중합체 및 그들의 제조방법
US6057083A (en) 1997-11-04 2000-05-02 Shipley Company, L.L.C. Polymers and photoresist compositions
US6165674A (en) 1998-01-15 2000-12-26 Shipley Company, L.L.C. Polymers and photoresist compositions for short wavelength imaging
JPH11337722A (ja) 1998-05-27 1999-12-10 Toppan Printing Co Ltd カラーフィルター用着色組成物およびそれを使用したカラーフィルター
JP3175697B2 (ja) * 1998-06-18 2001-06-11 日本電気株式会社 化学増幅系フォトレジスト
US6136501A (en) 1998-08-28 2000-10-24 Shipley Company, L.L.C. Polymers and photoresist compositions comprising same
KR20000047909A (ko) 1998-12-10 2000-07-25 마티네즈 길러모 이타콘산 무수물 중합체 및 이를 함유하는 포토레지스트조성물
US6048662A (en) 1998-12-15 2000-04-11 Bruhnke; John D. Antireflective coatings comprising poly(oxyalkylene) colorants
US6048664A (en) 1999-03-12 2000-04-11 Lucent Technologies, Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US6692888B1 (en) 1999-10-07 2004-02-17 Shipley Company, L.L.C. Copolymers having nitrile and alicyclic leaving groups and photoresist compositions comprising same
US6306554B1 (en) 2000-05-09 2001-10-23 Shipley Company, L.L.C. Polymers containing oxygen and sulfur alicyclic units and photoresist compositions comprising same
US6391521B1 (en) 2000-08-16 2002-05-21 International Business Machines Corporation Resist compositions containing bulky anhydride additives
JP2003140345A (ja) * 2001-11-02 2003-05-14 Fuji Photo Film Co Ltd ポジ型レジスト組成物
US7244542B2 (en) 2002-05-30 2007-07-17 Shipley Company, L.L.C. Resins and photoresist compositions comprising same
US7390609B2 (en) * 2003-03-03 2008-06-24 Rohm And Haas Electronic Materials Llc Polymers and photoresists comprising same
US7011924B2 (en) * 2003-07-30 2006-03-14 Hynix Semiconductor Inc. Photoresist polymers and photoresist compositions comprising the same
JP4448705B2 (ja) 2004-02-05 2010-04-14 富士フイルム株式会社 感光性組成物及び該感光性組成物を用いたパターン形成方法
JPWO2005097725A1 (ja) 2004-04-05 2008-02-28 出光興産株式会社 カリックスレゾルシナレン化合物、フォトレジスト基材及びその組成物
JP4621451B2 (ja) * 2004-08-11 2011-01-26 富士フイルム株式会社 液浸露光用保護膜形成組成物及びそれを用いたパターン形成方法
KR100730127B1 (ko) 2005-01-29 2007-06-19 삼성에스디아이 주식회사 박막 트랜지스터 및 이를 구비한 평판 표시장치
JP2006257248A (ja) 2005-03-17 2006-09-28 Fuji Photo Film Co Ltd インク組成物、インクジェット記録方法、印刷物、平版印刷版の製造方法及び平版印刷版
US20070196773A1 (en) * 2006-02-22 2007-08-23 Weigel Scott J Top coat for lithography processes
JP2008026358A (ja) * 2006-07-18 2008-02-07 Mitsubishi Paper Mills Ltd 感光性平版印刷版
EP2420891B1 (en) * 2006-10-30 2021-06-23 Rohm and Haas Electronic Materials LLC Process for immersion lithography
KR101423801B1 (ko) * 2006-11-28 2014-07-25 도쿄 오카 고교 가부시키가이샤 후막용 화학증폭형 포지티브형 포토레지스트 조성물, 후막용 화학증폭형 드라이 필름 및 후막 레지스트 패턴의 제조 방법
JP2008277748A (ja) * 2007-03-30 2008-11-13 Renesas Technology Corp レジストパターンの形成方法とその方法により製造した半導体デバイス
JP5072462B2 (ja) * 2007-07-11 2012-11-14 旭化成イーマテリアルズ株式会社 ポジ型感光性樹脂組成物
JP2009019003A (ja) * 2007-07-11 2009-01-29 Tokyo Ohka Kogyo Co Ltd 液浸露光用ポジ型レジスト組成物およびレジストパターン形成方法
JP2009122325A (ja) * 2007-11-14 2009-06-04 Fujifilm Corp トップコート組成物、それを用いたアルカリ現像液可溶性トップコート膜及びそれを用いたパターン形成方法
JP5502401B2 (ja) * 2008-09-02 2014-05-28 住友化学株式会社 化合物及びその製造方法並びに該化合物を含むレジスト組成物
EP2204694A1 (en) * 2008-12-31 2010-07-07 Rohm and Haas Electronic Materials LLC Compositions and processes for photolithography
JP5533232B2 (ja) * 2009-06-29 2014-06-25 Jsr株式会社 ポジ型感放射線性組成物、硬化膜、層間絶縁膜、層間絶縁膜の形成方法、表示素子、及び層間絶縁膜形成用のシロキサンポリマー
JP5568258B2 (ja) * 2009-07-03 2014-08-06 東京応化工業株式会社 ポジ型レジスト組成物およびそれを用いたレジストパターン形成方法、並びに含フッ素高分子化合物
JP4743340B1 (ja) * 2009-10-28 2011-08-10 セントラル硝子株式会社 保護膜形成用薬液
JP5439124B2 (ja) * 2009-11-11 2014-03-12 東京応化工業株式会社 レジスト組成物、レジストパターン形成方法
JP5560115B2 (ja) * 2010-06-28 2014-07-23 富士フイルム株式会社 パターン形成方法、化学増幅型レジスト組成物、及び、レジスト膜
EP2527919A1 (en) 2010-09-10 2012-11-28 Rohm and Haas Electronic Materials LLC Photoresist compositions and methods of forming photolithographic patterns
EP2428842A1 (en) 2010-09-14 2012-03-14 Rohm and Haas Electronic Materials LLC Photoresists comprising multi-amide component
US8526495B2 (en) * 2010-11-22 2013-09-03 Mediatek Singapore Pte. Ltd. Apparatus and method of constrained partition size for high efficiency video coding
JPWO2012111450A1 (ja) * 2011-02-14 2014-07-03 Jsr株式会社 フォトレジスト組成物及びレジストパターン形成方法
US9122159B2 (en) 2011-04-14 2015-09-01 Rohm And Haas Electronic Materials Llc Compositions and processes for photolithography
US9405200B2 (en) * 2011-09-22 2016-08-02 Toyko Ohka Kogyo Co., Ltd. Resist composition and method of forming resist pattern
JP6141620B2 (ja) * 2011-11-07 2017-06-07 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 上塗り組成物およびフォトリソグラフィ方法
JP5898962B2 (ja) 2012-01-11 2016-04-06 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
TWI602027B (zh) * 2012-02-09 2017-10-11 日產化學工業股份有限公司 光阻下層膜形成組成物
JP2013190497A (ja) * 2012-03-12 2013-09-26 Fujifilm Corp 感活性光線性又は感放射線性樹脂組成物、およびそれを用いたパターン形成方法
JP5949094B2 (ja) * 2012-04-25 2016-07-06 Jsr株式会社 ポジ型感放射線性組成物、表示素子用層間絶縁膜及びその形成方法
JP5879209B2 (ja) * 2012-06-21 2016-03-08 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
TWI581062B (zh) 2012-07-31 2017-05-01 羅門哈斯電子材料有限公司 光阻組成物及形成光微影圖案之方法
US11846885B2 (en) * 2013-12-30 2023-12-19 Rohm And Haas Electronic Materials, Llc Topcoat compositions and photolithographic methods
JP6471535B2 (ja) * 2014-03-03 2019-02-20 住友化学株式会社 レジスト組成物、レジストパターンの製造方法及び化合物
JP6461179B2 (ja) * 2014-09-30 2019-01-30 富士フイルム株式会社 ネガ型パターン形成方法及び電子デバイスの製造方法
CN106796401B (zh) * 2014-09-30 2021-06-29 富士胶片株式会社 图案形成方法、上层膜形成用组合物、抗蚀剂图案及电子元件的制造方法
US20160130462A1 (en) * 2014-11-07 2016-05-12 Rohm And Haas Electronic Materials Llc Topcoat compositions and photolithographic methods
JP6394481B2 (ja) * 2015-04-28 2018-09-26 信越化学工業株式会社 レジスト組成物及びパターン形成方法
JP6764675B2 (ja) * 2015-04-28 2020-10-07 住友化学株式会社 レジスト組成物及びレジストパターンの製造方法
KR101848656B1 (ko) * 2015-04-30 2018-04-13 롬엔드하스전자재료코리아유한회사 오버코트 조성물 및 포토리소그래피 방법
US9957339B2 (en) * 2015-08-07 2018-05-01 Rohm And Haas Electronic Materials Llc Copolymer and associated layered article, and device-forming method
JP7087490B2 (ja) * 2017-04-25 2022-06-21 住友化学株式会社 レジスト組成物及びレジストパターンの製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012032446A (ja) * 2010-07-28 2012-02-16 Fujifilm Corp パターン形成方法及びこの方法に用いられる有機系処理液
JP2013097295A (ja) * 2011-11-04 2013-05-20 Fujifilm Corp パターン形成方法及びその方法に用いる架橋層形成用組成物
JP2017068259A (ja) * 2015-09-30 2017-04-06 ローム・アンド・ハース・エレクトロニック・マテリアルズ・コリア・リミテッド フォトリソグラフィのためのオーバーコート組成物及び方法
JP2017068257A (ja) * 2015-10-02 2017-04-06 住友化学株式会社 レジスト組成物及びレジストパターンの製造方法

Also Published As

Publication number Publication date
TW201930253A (zh) 2019-08-01
JP2019120952A (ja) 2019-07-22
KR102177417B1 (ko) 2020-11-11
JP6730417B2 (ja) 2020-07-29
CN109991809A (zh) 2019-07-09
US20190204743A1 (en) 2019-07-04
TWI686381B (zh) 2020-03-01
US11829069B2 (en) 2023-11-28
CN109991809B (zh) 2022-10-11

Similar Documents

Publication Publication Date Title
KR102064809B1 (ko) 포토레지스트 조성물 및 포토리소그래픽 패턴 형성 방법
JP6254377B2 (ja) フォトレジスト組成物およびフォトリソグラフィパターンを形成する方法
JP6118500B2 (ja) フォトレジスト組成物、およびフォトリソグラフィパターンを形成する方法
JP5947028B2 (ja) ポリマー、フォトレジスト組成物、およびフォトリソグラフィパターンを形成する方法
TWI556059B (zh) 光阻劑組成物及形成光微影圖案之方法
JP6557389B2 (ja) フォトレジスト組成物及び方法
JP5952029B2 (ja) フォトレジスト組成物、およびフォトリソグラフィパターンを形成する方法
KR101785426B1 (ko) 포토레지스트 조성물 및 방법
KR102029693B1 (ko) 오버코트 조성물 및 포토리소그래피 방법
KR102177417B1 (ko) 포토레지스트 조성물 및 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant