KR20190049936A - 오버레이 계측의 콘트라스트 증강을 위한 구조화 조명 - Google Patents

오버레이 계측의 콘트라스트 증강을 위한 구조화 조명 Download PDF

Info

Publication number
KR20190049936A
KR20190049936A KR1020197012542A KR20197012542A KR20190049936A KR 20190049936 A KR20190049936 A KR 20190049936A KR 1020197012542 A KR1020197012542 A KR 1020197012542A KR 20197012542 A KR20197012542 A KR 20197012542A KR 20190049936 A KR20190049936 A KR 20190049936A
Authority
KR
South Korea
Prior art keywords
illumination
slm
target
metrology
contrast
Prior art date
Application number
KR1020197012542A
Other languages
English (en)
Other versions
KR102068950B1 (ko
Inventor
조엘 엘 셀리그손
노암 사피엔스
다니엘 칸델
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20190049936A publication Critical patent/KR20190049936A/ko
Application granted granted Critical
Publication of KR102068950B1 publication Critical patent/KR102068950B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

계측 툴의 콘트라스트 증강은, 조명 빔을 발생하는 단계와, 상기 발생 빔의 일부를 공간 광 변조기(SLM)의 표면으로 보내는 단계와, 상기 SLM의 표면에 입사한 상기 발생 빔의 적어도 일부를 개구 정지부의 개구를 통해 하나 이상의 시료의 하나 이상의 표적 구조체로 보내는 단계와, 선택된 콘트라스트 문턱값을 초과하는 상기 하나 이상의 표적 구조체의 하나 이상의 필드 영상의 콘트라스트 레벨을 수립하기 위하여 상기 SLM을 사용하여 상기 개구를 통해 전달되는 조명의 선택된 조명 동공 기능을 생성하는 단계, 그리고 상기 선택된 조명 동공 기능을 사용하여 상기 하나 이상의 표적 구조체에 대하여 한 번 이상의 계측형 측정을 수행하는 단계를 포함할 수도 있다.

Description

오버레이 계측의 콘트라스트 증강을 위한 구조화 조명{STRUCTURED ILLUMINATION FOR CONTRAST ENHANCEMENT IN OVERLAY METROLOGY}
본 출원은 아래에 열거된 출원(들)("관련 출원")에 관한 것으로 이들 출원(들)의 최초 유효 출원 일자(들)의 이득을 청구한다(예를 들어, 관련 출원(들)의 어느 하나 및 모든 부모 출원, 조부모 출원, 증조부모 출원 등에 대하여, 가출원이 아닌 정규 출원의 최초 유효 우선권 일자를 청구하거나, 제 35 USC §119(e) 조에 의거 가출원의 이득을 청구한다).
관련 출원:
USPTO의 임시적 법정(extra-statutory) 요건을 충족시키기 위해, 본 출원은, "오버레이 계측의 콘트라스트 증강을 위한 구조화 조명(STRUCTURED ILLUMINATION FOR CONTRAST ENHANCEMENT IN OVERLAY METROLOGY)"을 제목으로 하며, 발명자 조엘 셀리그손(Joel Seligson), 노암 사피엔스(Noam Sapiens) 및 다니엘 칸델(Daniel Kandel)에 의해 이루어지고, 2011년 2월 10일자로 출원되며, 출원 번호가 제 61/441,540 호인 미국 가출원의 정규 특허 출원(비가출원)을 구성한다.
USPTO의 임시적 법정 요건을 충족시키기 위해, 본 출원은, "오버레이 계측의 콘트라스트 증강을 위한 구조화 조명(STRUCTURED ILLUMINATION FOR CONTRAST ENHANCEMENT IN OVERLAY METROLOGY)"을 제목으로 하며, 발명자 조엘 셀리그손(Joel Seligson), 노암 사피엔스(Noam Sapiens) 및 다니엘 칸델(Daniel Kandel)에 의해 이루어지고, 2011년 2월 10일자로 출원되며, 출원 번호가 제 61/441,553 호인 미국 가출원의 정규 특허 출원(비가출원)을 구성한다.
본 발명은 개괄적으로, 오버레이 계측에 관한 것으로, 보다 구체적으로는, 오버레이 계측 시스템의 표적 콘트라스트를 증강하기 위한 시스템에 관한 것이다.
각종 제조 및 생산 설정과 관련하여, 주어진 시료의 다양한 층들 사이의, 또는 특정 층들 내부의 정렬을 제어할 필요가 있다. 예를 들어, 반도체 처리 과정을 보면, 기판상의 일련의 층(이들 층 중 일부 또는 전부가 다양한 구조체를 포함)을 제조하여 반도체를 기반으로 하는 장치가 생산될 수도 있다. 장치의 성능 면에서, 단일 층의 내부 구조체 사이의 상대적인 위치 뿐만 아니라 다른 층들 간의 구조체 사이의 상대적인 위치를 고려하는 것이 중요하다. 다양한 구조체 사이의 오정렬을 오버레이 에러(overlay error)라 한다.
촬상법(imaging) 또는 산란 측정법(scatterometry)을 기반으로 하는 시스템과 같은 종래 기술의 오버레이 계측 시스템은, 통상, 명시야 조명 현미경 관찰법(bright-field illumination microscopy)을 기반으로 하고, 이 명시야 조명 현미경 관찰법에서는, 적어도 두 개의 별개의 공정 단계로부터 획득한 공간상 정보를 포함하는 전용 계측 표적(target)이 2차원 센서 어레이 영상으로 나타내어진다. 도 1에는 종래 기술의 오버레이 계측 시스템(100)이 도시되어 있다. 이러한 시스템(100)은 조명원(102)(예를 들어, 광대역 또는 협대역 공급원), 한 세트의 조명 옵틱(108), 대물 렌즈(114)에 광 빔(112)을 조사하도록 구성되는 빔 스플리터(beam splitter)(104)를 포함할 수도 있으며, 상기 대물 렌즈에 의해 광의 초점이 시료 스테이지(118)에 배치된 웨이퍼(116)의 하나 이상의 표적(117)에 맞추어진다. 이후, 웨이퍼(116)의 계측 표적(117)으로부터 광의 산란이 이루어져, 촬상 경로(110)를 따라 검출기(106)의 촬상 평면으로 전달된다. 일부 계측 시스템의 경우에는 두 개의 빔(예를 들어, 조명 경로 및 기준 경로) 간섭 측정 구성이 채용되고 있다. 종래 기술의 두 개의 빔 계측 시스템은, 이로만 제한되는 것은 아니지만, 기준 미러(mirror)와, 기준 대물 렌즈, 그리고 기준 경로(122)를 선택적으로 차단하도록 구성되는 셔터(shutter)를 포함하는 한 세트의 기준 옵틱(120)을 포함한다. 일반적으로, 두 개의 빔 간섭 광학 시스템은 리닉(Linnik) 간섭 측정계로서 구성될 수도 있다. 리닉 간섭 측정계는, 본 명세서에 참조로써 인용되고 있는, 1989년 4월 4일자로 허여된 미국 특허 제 4,818,110 호 및 2001년 1월 9일자로 허여된 미국 특허 제 6,172,349 호에 개괄적으로 설명되어 있다.
웨이퍼 상의 연속적인 패턴의 층들 사이의 오버레이 에러의 측정은 집적 회로 및 장치의 제조에 사용되는 가장 중요한 공정 제어 기술 중 하나이다. 오버레이 정확도는, 일반적으로, 제 1 패턴 층이 그 위 또는 아래에 배치되는 제 2 패턴 층에 대하여 얼마나 정확하게 정렬되어 있는지에 대한 결정 및 제 1 패턴이 동일 층에 배치되어 있는 제 2 패턴에 대하여 얼마나 정확하게 정렬되어 있는지에 대한 결정과 관련되어 있다. 현재, 오버레이 측정은 웨이퍼의 층들과 함께 인쇄되는 테스트 패턴을 통해 수행되고 있다. 테스트 패턴의 영상이 촬상 툴(imaging tool)을 통해 촬영되며, 촬영 영상으로부터 패턴들 간의 상대적인 변위를 산출하기 위한(예를 들어, 검출기(106)의 출력부에 연결되는 컴퓨팅 시스템(124)을 사용하여 산출하기 위한) 분석 알고리즘이 사용된다. 이러한 오버레이 계측에 있어서의 표적(또는 "표식(mark)")은, 일반적으로, 두 개의 층에 형성되는 특징부를 포함하며, 이들 특징부는 층들의 특징부들 사이의 공간상 변위(즉, 층들 사이의 오버레이 또는 변위)의 측정이 가능하도록 구성된다.
그러나, 오버레이 측정 정밀도는 주어진 계측 시스템에서 달성 가능한 콘트라스트 레벨에 의해 제한된다. 광학 계측 시스템의 콘트라스트는, 일반적으로, 콘트라스트가 가장 낮은 표적 특징부의 영상 투사 시의 고저간 차이에 의해 제한된다. 또한, 콘트라스트가 가장 낮은 표적 특징부의 영상 투사 시의 고저간 차이에 의해 제한되는 콘트라스트에 의해 계측 정확도 및 툴 유도 이동(TIS:tool induced shift) 성능이 제한된다. 다수의 계측 표적 구조에 있어서, 상이한 입사 각도로 광이 조사되는 경우(다시 말해, 조명 동공(illumination pupil)의 서로 다른 위치로부터 광이 조사되는 경우), 엣지(edge) 또는 주기적인 특징부의 콘트라스트 반전(contrast reversal)이 발생할 수도 있다. 복수의 입사 각도로 동시에 표적으로 광이 조사되는 경우, 콘트라스트 반전 효과에 의해, 복수의 입사 각도로부터 조사된 광이 영상 평면에서 통합되는 때에 관찰되는 콘트라스트를 감소시키거나 심지어 완전히 제거할 수도 있다.
종래 기술의 광학 계측 시스템은 고정 개구(aperture) 및 고정 개구의 측방향 이동을 사용하여(예를 들어, 압전 제어를 사용하여) 콘트라스트를 제어한다. 이러한 종래 기술의 시스템은, 부분적으로는, 이진화 특성(즉, ON 또는 OFF)으로 인해 한계가 있다. 다수의 실시에 있어서는 기존의 표적 및 표적 측정 시스템이 적당할 수도 있긴 하지만, 본 명세서의 설명에서는, 이와 관련하여 다수의 개선이 이루어질 수도 있음이 고려되고 있다. 본 명세서에 설명되고 있는 바와 같은 본 발명은 종래 기술의 단점을 극복하기 위한 방법 및 장치에 관한 것이다.
계측 툴의 콘트라스트 증강에 적당한 장치가 개시된다. 일 태양에 있어서, 계측 툴의 콘트라스트 증강에 적당한 장치는, 이로만 제한되는 것은 아니지만, 조명원; 공간 광 변조기(SLM); 상기 조명원으로부터 방출되는 광의 일부를 상기 공간 광 변조기(SLM)의 표면으로 조명 경로를 따라 보내도록 구성되는 빔 스플리터; 실질적으로 상기 조명 경로의 동공 평면에 배치되며, 상기 SLM의 표면으로부터 보내진 광의 적어도 일부를 하나 이상의 시편의 표면으로 전달하도록 구성되는 개구를 구비하는 개구 정지부; 및 상기 하나 이상의 시편의 하나 이상의 계측 표적 구조체의 하나 이상의 특성을 측정하도록 구성되는 계측 툴을 포함할 수도 있으며, 상기 계측 툴은, 상기 SLM의 표면으로부터 보내져 상기 개구 정지부의 상기 개구를 통해 전달되는 조명을 수신하도록 구성되는 입구 동공; 상기 계측 툴의 상기 입구 동공을 통해 수신되는 조명을 수신하도록 구성되며, 또한 상기 입구 동공을 통과한 조명의 적어도 일부를 상기 하나 이상의 시료로 보내도록 구성되는 빔 스플리터; 상기 조명의 적어도 일부를 상기 하나 이상의 시료의 하나 이상의 표적 구조체에 초점을 맞추도록 구성되는 대물 렌즈; 및 상기 하나 이상의 시료의 상기 하나 이상의 표적 구조체로부터 산란되는 조명의 일부를 수집하도록 구성되는 검출기를 포함하며, 상기 SLM은 상기 개구를 통해 전달되는 조명의 조명 동공 기능을 제어함으로써 상기 계측 툴의 콘트라스트 레벨을 제어하도록 구성되며, 상기 조명 동공 기능은 상기 조명원으로부터 나와 SLM의 표면에 충돌하는 조명의 프로파일을 제어함으로써 제어된다.
다른 태양에 있어서, 계측 툴의 콘트라스트 증강에 적당한 장치는, 이로만 제한되는 것은 아니지만, 하나 이상의 레이저 빔을 발생시키도록 구성되는 레이저 광원; 상기 레이저원의 출력부에 광학식으로 연결되는 통합 로드; 마이크로 미러 어레이(MMA) 칩; 상기 통합 로드로부터 방출되는 광의 일부를 광학 경로를 따라 상기 MMA 칩의 표면을 향해 보내도록 구성되는 빔 스플리터; 상기 빔 스플리터로부터 보내진 광의 일부를 수신하여 상기 MMA 칩으로 중계(relay)하도록 구성되는 한 세트의 광학 중계 옵틱(optical relay optic); 하나 이상의 시료의 하나 이상의 계측 표적 구조체의 하나 이상의 특성을 측정하도록 구성되는 계측 툴로서, 상기 MMA 칩의 표면으로부터 보내진 조명을 수신하도록 구성되는 입구 동공과; 상기 계측 툴의 상기 입구 동공을 통해 수신되는 조명을 수신하도록 구성되며, 또한 상기 입구 동공을 통과한 조명의 적어도 일부를 상기 하나 이상의 시료로 보내도록 구성되는 빔 스플리터와; 상기 조명의 적어도 일부를 상기 하나 이상의 시료의 하나 이상의 표적 구조체에 초점을 맞추도록 구성되는 대물 렌즈; 및 상기 하나 이상의 시료의 상기 하나 이상의 표적 구조체로부터 산란되는 조명의 일부를 수집하도록 구성되는 검출기를 포함하는 계측 툴; 및 상기 계측 툴의 촬상 경로를 따라 배치되며, 상기 MMA 칩이 결상되는 상기 대물 렌즈의 동공과 상기 하나 이상의 시료의 촬상 사이에 상기 검출기를 교호 작동시키도록 구성되는 제거가능한 렌즈를 포함할 수도 있으며, 상기 MMA 칩은 상기 레이저원으로부터 나와 상기 MMA 칩의 표면에 충돌하는 조명의 프로파일을 제어함으로써 상기 계측 툴의 콘트라스트 레벨을 제어하도록 구성된다.
계측 툴의 콘트라스트 증강을 위한 방법이 개시된다. 일 태양에 있어서, 계측 툴의 콘트라스트 증강을 위한 방법은, 이로만 제한되는 것은 아니지만, 조명 빔을 발생하는 단계와; 상기 발생 빔의 일부를 공간 광 변조기(SLM)의 표면으로 보내는 단계와; 상기 SLM의 표면에 입사한 상기 발생 빔의 적어도 일부를 개구 정지부의 개구를 통해 하나 이상의 시료의 하나 이상의 표적 구조체로 보내는 단계와; 선택된 콘트라스트 문턱값을 초과하는 상기 하나 이상의 표적 구조체의 하나 이상의 필드 영상의 하나 이상의 콘트라스트 레벨을 수립하기 위하여 상기 SLM을 사용하여 상기 개구를 통해 전달되는 조명의 하나 이상의 선택된 조명 동공 기능을 생성하는 단계; 및 상기 선택된 조명 동공 기능을 사용하여 상기 하나 이상의 표적 구조체에 대하여 한 번 이상의 계측형 측정을 수행하는 단계를 포함할 수도 있다.
계측 툴의 콘트라스트 증강을 위한 방법이 개시된다. 일 태양에 있어서, 계측 툴의 콘트라스트 증강을 위한 방법은, 이로만 제한되는 것은 아니지만, 조명 빔을 발생하는 단계와; 상기 발생 빔의 일부를 공간 광 변조기의 표면으로 보내는 단계와; 상기 공간 광 변조기의 표면에 입사한 상기 발생 빔의 적어도 일부를 개구 정지부의 개구를 통해 하나 이상의 시료의 하나 이상의 표적 구조체로 보내는 단계와; 각기 상기 공간 광 변조기를 사용하여 달성되는 상이한 조명 동공 기능에서 각기 획득되는, 하나 이상의 시료의 상기 하나 이상의 표적 구조체의 복수 개의 필드 영상을 계측 툴의 검출기를 사용하여 획득하는 단계와; 상기 하나 이상의 표적 구조체의 상기 복수 개의 필드 영상 각각에 대해 콘트라스트 레벨을 결정하여 복수 개의 콘트라스트 레벨을 산출하는 단계와; 상기 복수 개의 콘트라스트 레벨 중 가장 큰 콘트라스트 레벨에 대응하는 측정 조명 동공 기능을 확인하는 단계; 및 상기 확인된 측정 조명 동공 기능을 사용하여 한 번 이상의 계측형 측정을 수행하는 단계를 포함할 수도 있다.
전술한 개괄적인 서술 및 이하의 상세한 서술은 모두 예시적으로 주어진 것으로서 단지 설명을 위한 것이며 청구되고 있는 바와 같은 본 발명을 제한하는 의미로 해석되어야 하는 것은 아님을 이해하여야 한다. 본 명세서에 포함되어 본 명세서의 일부를 구성하고 있는 첨부 도면은 본 발명의 실시예를 예시하고 있으며, 개괄적인 서술과 함께 본 발명의 원리를 설명하는 역할을 한다.
당 업계의 숙련자에게는 본 발명의 다수의 장점이 첨부 도면을 참조하여 더 잘 이해될 수도 있을 것이다.
도 1은 종래 기술의 오버레이 계측형 측정 시스템의 개략도이다.
도 2a는 본 발명의 일 실시예에 따른 계측 툴에서의 콘트라스트 증강에 적당한 시스템의 개략도이다.
도 2b는 본 발명의 일 실시예에 따른 계측 툴에서의 콘트라스트 증강에 적당한 시스템의 계측 툴의 개략도이다.
도 2c는 본 발명의 일 실시예에 따른 계측 툴에서의 콘트라스트 증강에 적당한 시스템의의 개략도이다.
도 3a는 본 발명의 일 실시예에 따른 오버레이 표적의 필드 영상(field image) 및 대응하는 조명 동공 영상의 개념도이다.
도 3b는 본 발명의 일 실시예에 따른 오버레이 표적의 필드 영상(field image) 및 대응하는 조명 동공 영상의 개념도이다.
도 3c는 본 발명의 일 실시예에 따른 오버레이 표적의 필드 영상(field image) 및 대응하는 조명 동공 영상의 개념도이다.
도 4는 본 발명의 바람직한 일 실시예에 따른 시스템에 계측 툴에서의 콘트라스트 증강에 적당한 시스템의 개략도이다.
도 5는 본 발명의 일 실시예에 따른 계측 툴에서의 콘트라스트 증강 방법의 공정 순서도이다.
도 6은 본 발명의 일 실시예에 따른 계측 툴에서의 콘트라스트 증강 방법의 공정 순서도이다.
첨부 도면에 도시된 바와 같은 본 발명이 아래에 상세히 설명된다.
도 2a 내지 도 4를 개괄적으로 참조하여, 본 발명에 따른 계측 툴을 이용하여 측정되는 오버레이 계측 표적의 콘트라스트 증강을 제공하기에 적당한 시스템(200, 400)이 설명된다. 종래 기술의 오버레이 계측 표적과 연관된 한 가지 제약으로서, 표적의 소형 측정 구조체와 연관된 정보 내용(즉, 콘트라스트 레벨)이 부족할 가능성이 있다는 점이다. 시스템(200, 400)에서는, 하나 이상의 표적 구조체에서 나타나는 낮은 콘트라스트에 대처할 수 있도록 증강된 콘트라스트 레벨을 제공한다. 일반적으로, 표적 영상의 콘트라스트는 주어진 표적(예를 들어, BiB 표적 또는 AlM 표적)을 분석하도록 활용되는 광의 조명 동공 구조에 크게 좌우된다. 시스템(200, 400)에서는, 하나 이상의 웨이퍼 시료의 하나 이상의 오버레이 표적의 하나 이상의 측정 구조체와 연관된 콘트라스트 레벨을 증강하기 위하여, 실시 계측 툴의 조명 경로의 내부에 배치되는 공간 광 변조기(modulator)에 의해 제어되는 구조화 조명이 활용된다.
본 명세서에 개시된 바와 같은 본 발명의 전체 서술 내용에 있어서, 용어 "웨이퍼(wafer)" 및 "시료(sample)"는 상호 교환 가능하게 사용되고 있음에 주목하여야 한다. 본 발명의 전체 서술 내용에 사용되고 있는 바와 같이, 용어 "웨이퍼" 및 "시료"는, 일반적으로, 반도체 또는 비반도체 재료로 형성되는 기판을 일컫는다. 예를 들어, 반도체 또는 비반도체 재료는, 이로만 제한되는 것은 아니지만, 단결정 실리콘, 비화 갈륨, 그리고 인화 인듐을 포함할 수도 있다. 웨이퍼는 하나 이상의 층을 포함할 수도 있다. 예를 들어, 이러한 층은, 이로만 제한되는 것은 아니지만, 저항체, 유전성 재료, 전도성 재료, 그리고 반도체 재료를 포함할 수도 있다. 당 업계에는 상당히 다양한 유형의 이러한 층이 공지되어 있으며, 본 명세서에서 사용되고 있는 바와 같은 용어 웨이퍼는 상부에 이러한 모든 유형의 층이 형성될 수도 있는 웨이퍼를 포함하는 것을 의도로 한다. 통상적인 반도체 공정은 로트 당 이루어지는 웨이퍼 처리를 포함한다. 본 명세서에서 사용되고 있는 바와 같은 "로트(lot)"는 함께 처리되는 한 그룹의 웨이퍼(예를 들어, 한 그룹의 25개의 웨이퍼)를 의미한다. 로트의 각각의 웨이퍼는 평판 인쇄 처리 툴(예를 들어, 스텝퍼(stepper), 스캐너(scanner) 등)에 의해 처리되는 다수의 노광 필드(field)로 이루어져 있다. 각각의 필드 내부에 복수 개의 다이(die)가 존재할 수도 있다. 다이는 결국 단일 칩이 되는 기능상 유닛이다. 제품 웨이퍼 상에는, 통상, 오버레이 계측 표적이 스크라이브라인(scribeline) 영역(예를 들어, 필드의 네 개의 모서리 영역)으로 배치되어 있다. 이것은 통상, 노광 필드의 둘레 주변(및 다이 외측)의 회로가 존재하지 않는 영역이다. 몇몇 예에 있어서는, 필드의 둘레를 제외한 다이 사이의 영역인 스트리트(street)에 오버레이 표적이 배치된다. 오버레이 표적이 제품 웨이퍼 상의 주요 다이 영역의 내부에 배치되는 경우는 매우 드물며, 그 이유는 이러한 영역은 회로 구성에 결정적으로 필요하기 때문이다.
웨이퍼에 형성되는 하나 이상의 층은 패턴 처리되거나 패턴 처리되지 않을 수도 있다. 예를 들어, 웨이퍼는 각기 반복 가능한 패턴의 특징부를 구비하는 복수 개의 다이를 포함할 수도 있다. 소정 재료로 이루어진 이러한 층의 형성 및 처리를 통해, 궁극적으로, 소자가 완성될 수도 있다. 웨이퍼에는 상당히 다양한 유형의 소자가 형성될 수도 있으며, 본 명세서에 사용되고 있는 바와 같은 용어 웨이퍼는 상부에 당 업계에 공지된 어느 한 유형의 소자가 제조되는 웨이퍼를 포함하는 것을 의도로 한다.
이하, 도 2a 및 도 2b를 참조하여, 본 발명에 따른 계측 툴을 이용하여 측정되는 오버레이 계측의 콘트라스트 증강을 제공하기에 적당한 시스템(200)이 설명된다. 오버레이 계측 표적의 콘트라스트 증강을 제공하기에 적당한 시스템(200)은 조명원(202), 빔 스플리터(208), 공간 광 변조기(SLM)(206), 개구(219)를 구비한 개구 정지부(218), 그리고 시료 스테이지(232)에 배치된 하나 이상의 웨이퍼(230)의 하나 이상의 오버레이 표적(229)의 한 가지 이상의 계측 특성(예를 들어, 오버레이 에러)을 측정하도록 구성되는 오버레이 계측 툴(224)을 포함할 수도 있다. 다른 태양에 있어서, 시스템(200)은 제 1 푸리에(Fourier) 렌즈(216) 및 제 2 푸리에 렌즈(220)를 포함할 수도 있다.
본 발명의 일 태양에 있어서, SLM(206)은 계측 툴(224)의 콘트라스트 레벨을 제어하도록 구성된다. 이와 관련하여, 시스템(200)의 SLM(206)은, 시스템(200)의 개구(219)를 통과하는 조명의 조명 동공 기능(illumination pupil function)을 제어하는 방식으로, 계측 툴(224)을 사용하여 획득되는 하나 이상의 필드 영상의 콘트라스트를 제어한다. 본 명세서에서 주목하고 있는 바와 같이, 계측 시스템의 조명 경로(210)에 SLM(206)을 도입하게 되면 조명의 조명 동공 구조의 전체 공간상 제어가 가능하여, 시스템(200)으로 하여금 하나 이상의 웨이퍼(230)의 시험 계측 표적(229)의 콘트라스트가 가장 낮은 특징부의 콘트라스트 레벨을 제어하도록 할 수 있다. 이와 관련하여, 시스템(200)의 SLM(206)은, 조명원(202)으로부터 나와 SLM의 표면에 충돌하는 조명의 프로파일을 제어하는 방식으로, 조명 동공 기능(및 이에 따라 표적 콘트라스트)을 제어하도록 작용할 수도 있다. 예를 들어, 도 2a에 도시된 바와 같이, SLM(206)은 제 1 조명 구성(212)과 제 2 조명 구성(214)을 선택적으로 생성하도록 구성될 수도 있다.
일 실시예에 있어서, 본 발명의 SLM(206)은, 이로만 제한되는 것은 아니지만, 초소형 전자 기계 시스템(MEMS)을 기반으로 하는 SLM 장치를 포함할 수도 있다. 예를 들어, SLM(206)은, 이로만 제한되는 것은 아니지만, 본 명세서에서 추가로 논의되는 바와 같은, 마이크로 미러 어레이(MMA) 칩과 같은, 회절 기반 SLM을 포함할 수도 있다. 다른 예로서, SLM(206)은 반사 기반 SLM을 포함할 수도 있다. 다른 실시예에 있어서, 본 발명의 SLM(206)은 액정 기반 SLM을 포함한다.
이하, 도 3a 내지 도 3c를 참조하면, 일련의 조명 동공 영상(예를 들어, 304a, 304b, 304c) 및 이에 대응하는 필드 영상(예를 들어, 302a, 302b, 302c)이 예시되어 있다. 본 명세서에서 주목하고 있는 바와 같이, 조명 동공 영상의 "백색 부분(white portion)"은 조명의 각도에 대응한다. 또한, 본 명세서에서는, 조명이 표적(229)에 특정 범위의 입사각으로 조사되는 경우 에지 함수(edge function)가 내부 에지로부터 외측으로 횡방향으로 증가하는 필드 영상을 생성할 수도 있는 반면, 상이한 범위의 입사각으로 조사되는 경우에는 에지 함수가 내부 에지로부터 외측으로 횡방향으로 감소하는 필드 영상을 생성할 수도 있는 것으로 인지되고 있다. 이들 두 구성을 조합하게 되면 신호 강도는 증가하지만 도 3c에 예시된 바와 같이 콘트라스트가 감소한 영상을 생성할 수도 있으며, 이에 따라 계측 성능에 부정적인 영향을 미칠 수도 있다.
표적의 필드 영상(302a, 302b, 302c)의 획득과 연관된 특정한 한 세트의 조건의 경우, 본 발명의 출원인에 의해 밝혀진 바에 따르면, 환형 조명 필드(304a)가 도 3a에 도시된 바와 같이 콘트라스트가 가장 높은 필드 영상을 생성하였다. 본 명세서에서 주목하고 있는 바와 같이, 궁극적으로 바람직한 조명 필드는 각종 인자에 좌우될 수도 있다. 이러한 인자는, 이로만 제한되는 것은 아니지만, 특정 특징부, 지형, 그리고 스택 매개 변수(stack parameter)를 포함한다. 이와 같이, 조명 동공 필드(302a, 302b, 302c)는 본 발명을 제한하는 것이 아니라 사실상 단지 예시적인 의미로서 해석되어야 한다.
SLM(206)은, 조명원(202)으로부터 나와 SLM(206)의 표면에 충돌하는 조명의 조명 프로파일을 조절함으로써, 도 3a 내지 도 3c에 도시된 바와 같은 복수의 조명 동공 기능을 달성할 수도 있음에 주목하여야 한다. 이러한 능력을 통해, 하나 이상의 오버레이 표적(229)의 하나 이상의 필드 영상의 콘트라스트를 조명 동공 영상의 기능으로서 모니터링함으로써, 시스템(200)(또는 본 명세서에 추가로 설명되고 있는 시스템(400))의 훈련이 이루어질 수 있다. 최적화된 또는 거의 최적화된 조명 동공 기능이 달성되고 나면, 시스템(200)(사용자 선택을 통해 또는 컴퓨팅 시스템(242)의 제어를 통해)은 최적화된 조명 동공 기능을 사용하여 오버레이 계측형 측정치를 수행할 수도 있다. 시스템(200)(또는 시스템(400))을 사용하여 획득되는 표적 영상의 콘트라스트 최적화와 연관된 훈련 및 측정 공정이 본 명세서에서 추가로 더 상세히 논의된다.
시스템(200)의 조명원(202)은 당 업계에 공지된 조명원을 포함할 수도 있다. 일 실시예에 있어서, 조명원(202)은 협대역 광원을 포함할 수도 있다. 본 발명의 실시에는 공지된 바와 같은 협대역 광원이 적당한 것으로 인지되고 있다. 예를 들어, 바람직한 일 실시예에 있어서, 조명원(202)은, 이로만 제한되는 것은 아니지만, 하나 이상의 레이저 광원을 포함할 수도 있다. 예를 들어, 조명원(202)은, 이로만 제한되는 것은 아니지만, 다이오드 여기 고체 상태(DPSS:diode-pumped solid state) 레이저(예를 들어, 532nm DPSS Nd: YAG CW 레이저)를 포함할 수도 있다. 일 실시예에 있어서, 조명원(202)은 광대역 광원(예를 들어, 백색 광원)을 포함할 수도 있다. 예를 들어, 조명 광원(202)은, 이로만 제한되는 것은 아니지만, 할로겐 광원(HLS)을 포함할 수도 있다. 예를 들어, 할로겐 광원은, 이로만 제한되는 것은 아니지만, 텅스텐계 할로겐 램프를 포함할 수도 있다. 다른 예로서, 조명원(202)은 크세논 아크 램프를 포함할 수도 있다. 본 명세서에서는, 광대역 공급원의 실시의 경우, 반사 기반 SLM이 광대역 공급원과 연결하여 활용될 수도 있는 것으로 인지되고 있다. 다른 예로서, 주어진 광대역 공급원의 출력 스펙트럼이 좁아질 수도 있는 것으로 인지되고 있다. 예를 들어, 광대역 공급원의 출력부는 대략 10nm까지 좁아질 수도 있다. 당 업계의 숙련자라면 각종 설정치가 존재하며 본 발명에 따라 광대역 공급원이 활용될 수도 있음을 인지하여야 한다. 이와 같이, 전술한 설명은 제한적인 의미가 아니라 단지 예시로서 해석되어야 한다.
본 발명의 다른 태양에 있어서, 빔 스플리터(204)는 조명원(202)으로부터 방출되는 광의 일부를 SLM(206)의 표면을 향해 안내하도록 구성된다. 이와 관련하여, 빔 스플리터(204)는 조명원(202)으로부터 방출되는 광 빔을 두 개의 빔으로 분할하여, 이들 빔 중 적어도 하나가 SLM(206)의 표면을 향해 안내된다. 빔 스플리터(204)는 또한, SLM(206)의 표면으로부터 방출되는 조명이 개구(119)를 통해 하나 이상의 웨이퍼(230)를 향해 전달될 수 있도록 구성된다. 본 명세서에서 고려되고 있는 바와 같이, 당 업계에 공지된 빔 분할 기술이 본 발명의 실시에 적당하다.
본 발명의 또 다른 태양에 있어서, 개구 정지부(218)가 조명 경로(212)의 동공 평면에 배치된다. 이러한 방식으로, 개구 정지부(218)는, 개구 정지부(218)의 개구(219)가 SLM(206)의 표면으로부터 안내되는 광의 일부를 빔 스플리터(204)를 통해 하나 이상의 웨이퍼(230)의 표면으로 전달하는 위치에 놓이도록, 배치된다.
본 발명의 또 다른 태양에 있어서, 제 1 푸리에 렌즈(216)는 SLM과 개구(219)의 사이에 배치될 수도 있으며, 제 2 푸리에 렌즈(220)는 개구(219)와 계측 툴(224)의 계측 옵틱(226)(예를 들어, 대물 렌즈(228), 촬상 옵틱(238) 등)의 입구 동공(222)의 사이에 배치될 수도 있다. 또 다른 실시예에 있어서, 제 1 푸리에 렌즈(216)는 SLM으로부터 수용되는 조명(212)에 대해 푸리에 변환을 수행하도록 구성될 수도 있다. 또한, 제 2 푸리에 렌즈(220)는 개구(219)를 통과한 조명에 대해 푸리에 역변환을 수행할 수도 있다. 이와 관련하여, 개구 정지부(218)의 개구(219)는 푸리에 개구로서 구성될 수도 있다. 제 2 푸리에 렌즈(220)는 또한, 계측 툴(224)의 계측 옵틱(226)의 입구 동공(222)을 향해 조명(221)을 보내도록 구성될 수도 있다.
본 발명의 일 태양에 있어서, 도 2b에 도시된 바와 같이, 시스템(200)의 계측 툴(224)은 입구 동공(222), 한 세트의 계측 옵틱(226), 그리고 검출기(240)를 포함할 수도 있다. 다른 태양에 있어서, 계측 툴(224)의 입구 동공(222)은 SLM(206)으로부터 보내져 개구(219)를 통과한 조명을 수용하도록 구성된다. 이러한 방식으로, 입구 동공(222)은 계측 툴(224)의 계측 옵틱(226)(예를 들어, 대물 렌즈(228), 촬상 옵틱(238), 빔 스플리터(234) 등)에 대한 입구 동공으로서 작용한다.
또 다른 태양에 있어서, 계측 툴(224)의 계측 옵틱(226)은 대물 렌즈(228)를 포함할 수도 있다. 대물 렌즈(228)는 시료 스테이지(232)에 배치된 웨이퍼(230)의 표면으로 계측 툴(224)의 객체 경로(object path)((235)를 따라 광이 보내지는 것을 돕는 역할을 할 수도 있다. 예를 들어, 빔 스플리터(234)는 입구 동공(222)을 통해 계측 옵틱(226)에 들어가는 광 빔(221)의 일부를 객체 경로(235)를 따라 보낼 수도 있다. 빔 스플리터(234)에 의해 이루어지는 분할 공정에 이어, 대물 렌즈(228)에 의해 객체 경로(235)로부터 보내진 광의 초점이 웨이퍼(230)의 하나 이상의 오버레이 표적(229)에 맞추어질 수도 있다. 일반적으로, 당 업계에 공지된 대물 렌즈가 본 발명의 계측 툴(224)의 대물 렌즈(228)로서 실시하기에 적당할 수도 있다.
또한, 웨이퍼(230)의 표면과 충돌하는 광의 일부가 웨이퍼(230)의 하나 이상의 오버레이 표적(229)에 의해 산란되어 대물 렌즈(228), 빔 스플리터(234) 그리고 촬상 옵틱(238)을 통해 검출기(240)로 보내질 수도 있다. 또한, 중간 렌즈, 추가의 빔 스플리터(예를 들어, 광의 일부를 포커싱 시스템으로 분할하도록 구성되는 빔 스플리터)와 같은 중간 옵틱 소자 및 추가의 촬상 렌즈가 대물 렌즈(228)와 검출기(240)의 촬상 평면의 사이에 배치될 수도 있음을 인지하여야 한다.
또 다른 태양에 있어서, 계측 툴(224)의 검출기(240)는 계측 툴(224)의 광학 축선을 따라 배치될 수도 있으며, 상기 광학 축선은 적어도 웨이퍼(230)의 표면에 대해 실질적으로 수직 방향이다. 이와 관련하여, 검출기(240)는 웨이퍼(230)의 표면으로부터 영상 정보 데이터를 수집하도록 배열될 수도 있다. 예를 들어, 일반적으로, 웨이퍼(230)의 적어도 하나 이상의 표적(229)으로부터 산란된 후, 광은 광학 축선을 따라 대물 렌즈(228), 빔 스플리터(234) 그리고 촬상 렌즈(238)를 통해 검출기(240)의 영상 평면으로 이동할 수도 있다. 당 업계에 공지된 검출기 시스템이 본 발명의 실시에 적당한 것으로 인지되고 있다. 예를 들어, 검출기(240)는 전하 결합 소자(CCD)를 기반으로 하는 카메라 시스템을 포함할 수도 있다. 다른 예로서, 검출기(240)는 시간 지연 적분(TDI)-CCD를 기반으로 하는 카메라 시스템을 포함할 수도 있다.
검출기(240)가 웨이퍼(230)의 표면에 대해 수직 방향으로 배향된 광학 축선을 따라 배치되는 것으로 설명되어 있긴 하지만, 이러한 특성이 필수 요건으로서 해석되어야 하는 것은 아니다. 본 명세서에서는, 검출기(240)가 시스템(200)의 추가의 광학 축선을 따라 배치될 수도 있는 것으로 고려되고 있다. 예를 들어, 일반적으로, 웨이퍼(230)의 표면으로부터 반사되어 객체 경로(235)를 따라 추가의 광학 축선 상으로 이동하는 광의 일부의 방향을 전환하도록 하나 이상의 추가 빔 스플리터가 사용될 수도 있다. 검출기(240)는 추가의 광학 축선을 따라 이동하는 광이 검출기(240)의 영상 평면과 충돌하도록 배치될 수도 있다.
본 명세서에서는, 본 발명의 시스템(200, 400)이 현재 존재하는 광학 계측 시스템의 개작 또는 변경을 통해 구성될 수도 있음을 고려하고 있다(이와 같이 구성되는 것이 필요하지 않을 수도 있다). 예를 들어, 본 발명은 KLA-텐코 아처(Tencor Archer)(100 또는 200) 오버레이 제어 시스템의 개작을 포함할 수도 있다. 예를 들어, 시스템(200)의 경우, SLM(206), 빔 스플리터(204), 개구 정지부(218), 그리고 푸리에 렌즈(218, 219)가 조명원(202)으로부터 방출되는 광을 처리하도록 배열될 수도 있다. 또한, 기존의 계측 시스템(예를 들어, KLA-텐코 아처(100 또는 200))의 조명원이 제거되어, 본 명세서에서 설명되고 있는 구성 요소에 의해 처리되는 조명(221)을 수용하도록 구성되어 있는 입구 동공(222)으로 교체될 수도 있다. 본 발명이 아처 시스템(100 또는 200)의 개작으로만 제한되는 것은 아니며, 오히려 전술한 설명은 단지 예시로서 해석되어야 함을 인지하여야 한다. 본 발명이 상당히 다양한 현미경 및 오버레이 계측 시스템으로 확장될 수도 있는 것으로 예상된다.
본 발명의 다른 태양에 있어서, 도 2a 내지 도 2c에 도시된 바와 같이, 시스템(200)은 계측 툴(224)의 검출기(240)에 통신가능하게 연결되어 검출기(240)의 출력부에 의해 제공되는 수집 조명 데이터를 사용하는 하나 이상의 오버레이 특성(예를 들어, 오버레이 에러)을 결정하도록 구성되는 하나 이상의 컴퓨팅 시스템(242)을 포함할 수도 있다. 이와 같이, 하나 이상의 컴퓨팅 시스템(242)은 로트의 하나 이상의 웨이퍼(230)의 샘플링 공정에서 계측 툴(224)에 의해 수행되는 한 세트의 측정을 수용하도록 구성될 수도 있다. 이와 관련하여, 디지털화한 영상 정보 데이터가 유선 신호(예를 들어, 구리선, 광섬유 케이블 등) 또는 무선 신호(예를 들어, 무선 RF 신호)와 같은 신호를 통해 검출기(240)로부터 하나 이상의 컴퓨팅 시스템(242)으로 전송될 수도 있다. 계측 툴(224)로부터 하나 이상의 샘플링 공정의 결과치를 수신하면, 이후, 하나 이상의 컴퓨터 시스템(242)은 사전 프로그램된 오버레이 결정 알고리즘(캐리어 매체(244)에 저장된 프로그램 명령(246)의 일부로서 저장된 알고리즘)을 통해 시료 오버레이 표적과 연관된 하나 이상의 오버레이 값을 산출할 수도 있다.
일 변형예에 있어서, 도 2c에 도시된 바와 같이, 하나 이상의 컴퓨팅 시스템(242)은 SLM(206)과 계측 시스템(224)의 검출기(240) 모두에 통신가능하게 연결될 수도 있다. 일 태양에 있어서, 하나 이상의 컴퓨팅 시스템(242)은 검출기(240)로부터 웨이퍼(230)의 시료 오버레이 표적(229)의 복수 개의 필드 영상을 수용하도록 구성될 수도 있다. 또 다른 태양에 있어서, 각각의 필드 영상이 상이한 조명 동공 기능에서 획득될 수도 있으며, 각각의 조명 동공 기능은 본 명세서에서 전술한 바와 같이 SLM(206)을 사용하여 수립된다. 다른 태양에 있어서, 하나 이상의 컴퓨팅 시스템(242)은 하나 이상의 콘트라스트 측정치와 함께 검출기(240)로부터 수신되는 수집 조명 데이터를 사용하는 획득 필드 영상 각각에 대한 콘트라스트 레벨을 산출할 수도 있다. 오버레이 표적 영상의 콘트라스트 산출을 위한 콘트라스트 측정치의 사용에 대해서는, 본 명세서에 참조로써 인용되고 있는, 리차드 엠. 실버(Richard M. Silver)에 의해 편집된, 회의록 SPIE 5375권(2004) XVIII에 실린, 셀리그손(Seligson) 등의, 미세가공기술용 계측, 검사 및 공정 제어, "오버레이 계측의 표적 노이즈(Target Noise in Overlay Metrology)"에 개괄적으로 설명되어 있다. 추가의 태양에 있어서, 하나 이상의 컴퓨팅 시스템(242)은 각각의 획득 필드 영상에 대한 산출 콘트라스트 레벨을 사용하는 소망하는 콘트라스트 레벨(예를 들어, 시스템/표적의 최적의 콘트라스트 레벨 또는 선택된 콘트라스트 문턱값을 초과하는 콘트라스트 레벨)을 생성하기에 적당한 조명 기능을 결정할 수도 있다. 이와 같이 결정되는 적당한 조명 기능에 응답하여, 적당한 조명 기능을 생성하여 소망하는 콘트라스트 레벨 이상의 필드 영상 콘트라스트 레벨을 생성하는 구성의 SLM(206)을 수립하기 위하여, 하나 이상의 컴퓨팅 시스템(242)은 SLM(206)을 제어할 수도 있다.
또 다른 실시예에 있어서, 계측 툴(224)은 시스템(200)의 하나 이상의 컴퓨팅 시스템(242)으로부터의 명령을 수신하도록 구성될 수도 있다. 컴퓨팅 시스템(242)으로부터 명령을 수신하면, 계측 툴(242)은 하나 이상의 오버레이 계측형 측정을 수행할 수도 있다. 이와 관련하여, SLM(206)을 제어하는 방식으로 소망하는 콘트라스트 레벨을 달성하여 선택된 조명 기능을 수립하면, 하나 이상의 컴퓨팅 시스템(242)은 계측 툴(242)이 하나 이상의 계측형 측정을 수행하도록 할 수도 있다. 또 다른 실시예에 있어서, 계측 툴(242)은 하나 이상의 컴퓨팅 시스템(242)에 의해 제공되는 명령에서 확인되는 웨이퍼(230)의 다양한 위치에서 오버레이 계측을 수행할 수도 있다.
본 발명의 개시 내용 전체에 걸쳐 설명되고 있는 다양한 단계가 단일 컴퓨터 시스템에 의해 수행될 수도 있으며, 또는 변형예로서, 복수 개의 컴퓨터 시스템에 의해 수행될 수도 있음을 인지하여야 한다. 또한, 계측 툴(224)과 같은 시스템(200)의 서로 다른 하위 시스템은 전술한 단계 중 적어도 일부를 수행하기에 적당한 컴퓨터 시스템을 포함할 수도 있다. 따라서, 전술한 설명은 본 발명을 제한하는 것이 아니라 단지 예시로서 해석되어야 한다. 또한, 하나 이상의 컴퓨팅 시스템(242)은 본 명세서에서 설명되고 있는 방법 실시예 중 어느 하나의 그외 다른 단계(들)를 수행하도록 구성될 수도 있다.
다른 실시예에 있어서, 하나 이상의 컴퓨팅 시스템(242)은 당 업계에 공지된 방식으로 SLM(206) 및/또는 계측 툴(224)의 검출기(240)에 통신가능하게 연결될 수도 있다. 예를 들어, 하나 이상의 컴퓨팅 시스템(242)이 계측 툴(224)의 컴퓨터 시스템 또는 프로그램 가능한 SML(206)의 컴퓨터 시스템에 연결될 수도 있다. 다른 예에서, 계측 툴(224)과 SLM(206)은 단일 컴퓨터 시스템에 의해 제어될 수도 있다. 또한, 시스템(200)의 하나 이상의 컴퓨팅 시스템(242)은, 유선 및/또는 무선 부분을 포함할 수도 있는 전송 매체에 의해, 그외 다른 시스템으로부터 데이터 또는 정보(예를 들어, 검사 시스템으로부터의 검사 결과치, 추가의 계측 시스템으로부터의 계측 결과치, 또는 KLA-텐코의 KT 분석기와 같은 시스템으로부터 산출되는 정정 가능한 공정 툴 값)를 수신 및/또는 획득하도록 구성될 수도 있다. 이러한 방식으로, 전송 매체는 하나 이상의 컴퓨팅 시스템(242)과 시스템(200)의 그외 다른 하위 시스템 사이의 데이터 링크로서의 역할을 할 수도 있다. 또한, 하나 이상의 컴퓨팅 시스템(242)은 전송 매체를 통해 외부 시스템에 데이터를 전송할 수도 있다.
하나 이상의 컴퓨팅 시스템(242)은, 이로만 제한되는 것은 아니지만, 개인용 컴퓨터 시스템, 중앙 처리 장치 컴퓨터 시스템, 워크스테이션, 영상 컴퓨터, 병렬 프로세서, 또는 그외 다른 당 업계에 공지된 장치를 포함할 수도 있다. 일반적으로, 용어 "컴퓨팅 시스템(computing system)"은 광범위하게는 메모리 매체로부터의 명령을 실행하는 하나 이상의 프로세서를 구비하는 장치를 포함하는 의미로 정의될 수도 있다.
본 명세서에서 전술한 바와 같은 방법을 수행하는 프로그램 명령(246)이 캐리어 매체(244)를 통해 전송되거나 매체에 저장될 수도 있다. 캐리어 매체는 와이어, 케이블, 또는 무선 전송 링크와 같은 전송 매체일 수도 있다. 캐리어 매체는 또한, 읽기 전용 메모리, 임의 접근 메모리, 자성 또는 광학 디스크, 또는 자성 테이프와 같은 영구 저장 매체를 포함할 수도 있다.
이하, 도 4를 참조하면, 본 발명의 바람직한 일 실시예가 도시되어 있다. 본 출원인이 주목하고 있는 바와 같이, 달리 언급이 없는 한, 도 2a 내지 도 2c의 시스템(200)에 관한 설명은 도 4의 시스템(400)에도 적용되는 것으로 해석되어야 한다. 일 태양에 있어서, 시스템(400)은 하나 이상의 레이저 빔을 발생시키도록 구성되는 레이저 광원(402)과, 레이저 광원(402)에 광학식으로 연결되는 통합 로드(410), 그리고 마이크로 미러 어레이(MMA) 칩(406)을 포함한다. 다른 태양에 있어서, 시스템(400)은 통합 로드(410)로부터 조명을 수신하여 MMA 칩(206)을 향해 조명을 보내도록 구성되는 빔 스플리터(414)를 포함한다. 본 명세서에서는, 전술한 구성 요소가 마이크로 미러 촬상 소자(404)를 형성할 수도 있음에 주목하고 있다.
또 다른 실시예에 있어서, 마이크로 미러 촬상 소자(404)는, MMA 칩과 통합 로드(410)의 출력부의 광학식 연결을 보조하기 위하여, 조향 미러(412)를 더 포함할 수도 있다. 또한, 마이크로 미러 영상 촬용 소자(404)는, 빔 스플리터(414)와 MMA 칩(406)의 사이에 배치되어 레이저 광원(402)으로부터 전송되어 빔 스플리터(414)를 통과하는 레이저 조명을 처리하도록 구성되는, 한 세트의 슐리렌(Schlieren) 옵틱(416)을 더 포함할 수도 있다. 또한, 마이크로 촬상 소자(404)는 출구 렌즈(418)를 포함할 수도 있다.
다른 태양에 있어서, 시스템(400)은 계측 툴(408)을 포함한다. 시스템(200)에서와 같이, 시스템(400)의 계측 툴(408)은, 이로만 제한되는 것은 아니지만, 검출기(434), 대물 렌즈(426), 빔 스플리터(424), 그리고 촬상 옵틱(430)을 포함한다. 일 태양에 있어서, 마이크로 미러 소자(404) 및 계측 툴(408)은 계측 툴(408)의 계측 옵틱의 입구 동공(422)을 통과하는 빔(423)을 통해 광학식으로 상호 연결된다. 추가의 태양에 있어서, 계측 툴(408)은 계측 툴(408)의 촬상 경로를 따라 배치되는 제거가능한 미러(432)를 포함한다. 본 명세서에서는, 대물 렌즈(426)의 동공과 하나 이상의 시료(428)의 촬상 사이에 검출기(434)를 교호 작동시키기 위하여, 제거가능한 렌즈(432)가 실시될 수도 있음에 주목하고 있다. 또한, 본 명세서에서는, MMA 칩은 대물 렌즈(426)에 결상된다는 점에 주목하고 있다. 이와 같이, 대물 렌즈(428)의 촬상은 MMA 칩(406)의 촬상과 등가이다.
도 2a 내지 도 2c, 도 3a 내지 도 3c, 그리고 도 4에 도시된 시스템(200, 400)의 실시예는 또한, 본 명세서에서 설명된 바와 같이 구성될 수도 있다. 또한, 시스템(200)은 본 명세서에서 설명되고 있는 방법 실시예(들) 중 어느 하나의 그외 다른 단계(들)를 수행하도록 구성될 수도 있다.
도 5에는 본 발명의 시스템(200 또는 400)에 의해 실시하기에 적당한 공정 흐름(500)이 예시되어 있다. 일 태양에 있어서, 공정 흐름(500)의 데이터 처리 단계는 하나 이상의 컴퓨팅 시스템(242)의 하나 이상의 프로세서에 의해 실행되는 사전 프로그램된 알고리즘을 통해 수행될 수도 있는 것으로 인지되고 있다. 단계(502)에서는, 조명 빔이 발생한다. 예를 들어, 하나 이상의 레이저원이 레이저 광 빔을 발생시킬 수도 있다. 단계(504)에서는, 발생된 빔 중 일부가 공간 광 변조기(SLM)의 표면으로 보내진다. 예를 들어, 시스템(200)의 빔 스플리터(204)는 조명 빔(210) 중 적어도 일부를 SLM(예를 들어, 회절 기반 SLM)을 향해 보내도록 작용할 수도 있다. 단계(506)에서는, 개구 정지부의 개구를 통해 SLM의 표면에 입사한 발생 빔 중 적어도 일부가 하나 이상의 시료의 하나 이상의 표적 구조체로 보내진다. 예를 들어, 시스템(200)의 SLM(206)은 개구(119)를 통해 SLM의 표면에 입사한 조명 빔 중 적어도 일부를 웨이퍼(230)의 하나 이상의 표적(229)으로 보낼 수도 있다. 단계(508)에서는, 선택된 콘트라스트 문턱값을 초과하는 하나 이상의 표적 구조체의 하나 이상의 필드 영상의 콘트라스트 레벨을 수립하기 위하여, SLM을 사용하는 개구를 통해 전달되는 조명의 선택된 조명 동공 기능이 생성된다. 이와 관련하여, SLM(206)과 계측 툴(242)(이들 모두 컴퓨팅 시스템(242)에 의해 제어됨)은, 선택된 콘트라스트 레벨을 초과하는 계측 툴(242)을 사용하여 획득되는 하나 이상의 필드 영상의 콘트라스트 레벨을 생성하기에 적당한 조명 동공 기능을 달성하기 위하여, 피드백 모드로 작동할 수도 있다. 대안으로서, SLM(206)과 계측 툴(242)의 피드백 모드가 계측 툴(242)을 사용하여 획득되는 하나 이상의 필드 영상의 최적의 또는 거의 최적의 콘트라스트 레벨을 달성하도록 사용될 수도 있다. 단계(510)에서는, 선택된 조명 동공 기능을 사용하는 하나 이상의 표적 구조체(예를 들어, 표적(229))에 대해 한 번 이상의 계측형 측정이 수행된다. 예를 들어, 계측 툴(224)은, 최적의 또는 거의 최적의 콘트라스트 레벨(선택된 조명 동공 기능을 통해)의 달성 시에 컴퓨팅 시스템(242)에 의해 계측 툴(224)이 오버레이 계측형 측정을 수행할 수도 있도록, 하나 이상의 컴퓨팅 시스템(242)에 의해 제어될 수도 있다.
공정(500)의 또 다른 실시예에 있어서, 제 1 표적 구조체가 제 1 조명 기능에서 측정될 수도 있는 반면, 제 2 표적 구조체가 제 1 조명 기능과 상이한 제 2 조명 기능에서 측정될 수도 있다. 이러한 방식으로, 제 1 조명 기능은 SLM을 사용하여 제 1 표적 구조체와 매칭될 수도 있으며, 제 2 조명 기능은 SLM을 사용하여 제 2 표적 구조체와 매칭될 수도 있다. 본 실시예가 다수의 표적 구조체로 즉각적으로 확장될 수도 있으며 전술한 바와 같은 단지 두 개의 표적 구조체로만 해석되어야 하는 것은 아님을 인지하여야 한다. 이러한 방식으로, 각각의 표적 사이트(site)가 상이한 조명 동공 기능을 사용하여 측정되어 각각의 표적에 대한 콘트라스트 최적화를 허용하는 상태로, 웨이퍼 상의 복수 개의 표적 사이트가 순차적으로 측정될 수도 있으며, 또는 "즉시(on the fly)" 측정될 수도 있다.
또 다른 실시예에 있어서, 공정(500)은, 배경 콘트라스트 레벨에 비해 높은 콘트라스트 레벨에서 획득되는, 표적 구조체의 저부층의 제 1 필드 영상을 획득하는 단계와; 선택 콘트라스트 문턱값을 초과하는 콘트라스트 레벨에서 획득되는, 표적 구조체의 상부층의 제 2 필드 영상을 획득하는 단계; 및 이중 포획 알고리즘을 사용하여 제 1 필드 영상과 제 2 필드 영상을 조합함으로써 표적 구조체의 계측형 측정 영상을 생성하는 단계를 포함할 수도 있다. 이러한 방식으로, 시스템(200)(또는 시스템(300))은 "이중 포획(double grab)" 시퀀스를 채용할 수도 있다. 이중 포획 시퀀스는 단일 오버레이 표적의 두 개의 영상을 획득하는 단계를 포함할 수도 있어, 이중 포획 촬상 알고리즘이 두 개의 영상으로부터 획득된 정보를 사용하여 증가된 콘트라스트 레벨의 조합 영상을 제공하게 된다. 예를 들어, 시스템(200)은 표적의 두 개의 필드 영상을 포착할 수도 있으며, 제 1 영상은 배경과 비교하여 상당히 높은 콘트라스트를 갖는 저부층의 영상이고, 제 2 영상은 최적화된 또는 거의 최적화된 콘트라스트를 갖는 상부층의 영상이다. 시스템(200)(컴퓨팅 시스템(242)을 통해)은 이후, 양 층에 대해 상당히 높은 콘트라스트를 갖는 최종 영상을 달성하기 위하여 공지된 이중 포획 알고리즘을 사용하여 이들 영상을 디지털 방식으로 조합할 수도 있다.
도 6에는 본 발명의 시스템(200 또는 400)에 의해 실시하기에 적당한 변형예의 공정 흐름(600)이 예시되어 있다. 단계(602)에서는, 조명 빔이 발생한다. 단계(604)에서는, 발생된 빔 중 일부가 공간 광 변조기의 표면으로 보내진다. 단계(606)에서는, 개구 정지부의 개구를 통해 공간 광 변조기의 표면에 입사한 발생 빔 중 적어도 일부가 하나 이상의 시료의 하나 이상의 표적 구조체로 보내진다. 단계(602, 604, 606)는 공정(500)의 단계(502, 504, 506)와 특성이 유사하다. 단계(608)에서는, 검출기(예를 들어, 계측 툴의 CCD 카메라)를 사용하여 하나 이상의 시료의 하나 이상의 표적 구조체(예를 들어, 표적(229))의 복수 개의 필드 영상이 획득되며, 각각의 필드 영상은 상이한 예정된 조명 동공 기능에서 획득되고, 상이한 조명 동공 기능 각각은 공간 광 변조기(예를 들어, SLM(206))를 사용하여 달성된다. 예를 들어, 계측 툴(224)의 카메라(240)는 하나 이상의 표적(229)의 복수 개의 필드 영상을 획득할 수도 있으며, 각각의 필드 영상은 상이한 조명 동공 기능(예를 들어, 조명 동공 구성)에서 획득될 수도 있고, 이러한 상이한 조명 동공 기능은 SLM(206)(예를 들어, 회절 SLM)을 사용하여 수립된다. 단계(610)에서는, 하나 이상의 표적 구조체의 복수 개의 필드 영상 각각에 대한 콘트라스트 레벨을 결정하여 복수 개의 콘트라스트 레벨이 산출된다. 예를 들어, 컴퓨팅 시스템(242)은 카메라(240)로부터의 상이한 조명 동공 기능에서 획득되는 필드 영상을 수용할 수도 있다. 검출기(240)로부터 디지털화한 영상 정보 데이터를 수신하고 나면, 이후, 컴퓨팅 시스템(242)은 본 명세서에서 전술한 바와 같은 하나 이상의 콘트라스트 계측치를 사용하여 각각의 획득 필드 영상에 대한 콘트라스트 레벨을 산출할 수도 있다. 단계(612)에서는, 측정 조명 동공 기능이 확인되며, 측정 조명 동공 기능은 복수 개의 콘트라스트 레벨 중 가장 큰 콘트라스트 레벨에 해당한다. 예를 들어, 컴퓨팅 시스템(242)은 표적(229)의 복수 개의 획득 필드 영상과 연관된 한 세트의 산출 콘트라스트 레벨을 분석 및 비교하여, 획득 필드 영상 중 가장 높은 콘트라스트를 생성하는 조명 동공 기능에 대응하는 "측정 조명 동공 기능"을 확인할 수도 있다. 단계(614)에서는, 확인된 측정 조명 동공 기능을 사용하여 하나 이상의 계측형 측정이 수행된다. 단계(614)는 공정(500)의 단계(510)와 유사하다.
공정(600)의 일 실시예에 있어서, 복수 개의 초점면 상에서 복수 개의 필드 영상이 획득될 수도 있다. 다른 실시예에 있어서, 조명 빔의 하나 이상의 스펙트럼 매개 변수(예를 들어, 파장)의 범위에서 복수 개의 필드 영상이 획득될 수도 있다. 다른 실시예에 있어서, 복수 개의 필드 영상이 각각 하나 이상의 시료(예를 들어, 웨이퍼(230))의 상이한 표적 구조체 사이트(예를 들어, 표적(229) 사이트)에서 획득될 수도 있다. 다른 실시예에 있어서, 측정 조명 동공 기능이 웨이퍼 위치 또는 필드 위치 기능으로서의 SLM의 구성을 수정하는 방식으로 달성될 수도 있다.
또한, 공정 흐름(500)에 대하여 전술한 한계 및 실시예가 공정 흐름(600)으로 확장되는 것으로 해석되어야 하며 그 반대의 경우도 마찬가지임을 인지하여야 한다. 이와 같이, 공정 흐름(500, 600)의 전술한 설명은 본 발명을 제한하는 것이 아니라 단지 예시적인 의미로서 해석되어야 한다.
본 명세서에서 설명되고 있는 시스템 및 방법은 모두 방법 실시예의 하나 이상의 단계의 결과치를 저장 매체에 저장하는 단계를 포함할 수도 있다. 이러한 결과치는 본 명세서에서 설명되고 있는 결과치 중 어느 하나를 포함할 수도 있으며, 당 업계에 공지된 어느 하나의 방식으로 저장될 수도 있다. 저장 매체는 본 명세서에서 설명되고 있는 저장 매체 또는 당 업계에 공지된 그외 다른 적당한 저장 매체를 포함할 수도 있다. 이와 같이 결과치가 저장된 후, 저장 매체에서 결과치로의 접근이 이루어질 수 있으며, 본 명세서에서 설명되고 있는 방법 또는 시스템 실시예 중 어느 하나에 의해 사용되며, 사용자에게 표시되도록 구성되고, 다른 소프트웨어 모듈, 방법, 또는 시스템 등에 의해 사용될 수도 있다. 또한, 결과치는 "영구적으로", "반영구적으로", 일시적으로 또는 약간의 기간 동안 저장될 수도 있다. 예를 들어, 저장 매체는 임의 접근 메모리(RAM)일 수도 있으며, 결과치가 반드시 저장 매체에서 무한정 존속되지는 않을 수도 있다.
당 업계의 숙련자라면 알 수 있는 바와 같이, 본 명세서에서 설명되고 있는 공정 및/또는 시스템 및/또는 그외 다른 기술을 달성할 수 있는 다양한 비히클(vehicle)(예를 들어, 하드웨어, 소프트웨어, 및/또는 펌웨어)이 존재하며, 공정 및/또는 시스템 및/또는 그외 다른 기술이 전개되는 문맥에 따라 바람직한 비히클은 변한다. 예를 들어, 실시자가 속도와 정확도가 가장 중요한 것으로 결정하면, 실시자는 주로 하드웨어 및/또는 펌웨어 비히클에 적당할 수도 있으며; 대안으로서, 가요성이 가장 중요하다면, 실시자는 주로 소프트웨어 실시에 적당할 수도 있고; 또는 다른 대안으로서, 실시자는 하드웨어, 소프트웨어, 및/또는 펌웨어의 몇몇 조합에 적당할 수도 있다. 그러므로, 본 명세서에서 설명되고 있는 공정 및/또는 장치 및/또는 그외 다른 기술을 달성할 수도 있는 여러 개의 가능한 비히클이 존재하며, 사용할 비히클이 비히클의 배치 내용에 따라 선택되며 실시자의 특정 관심사(예를 들어, 속도, 가요성, 또는 예측 가능성) 중 어느 하나가 변할 수도 있다는 점에서, 이중 어느 것도 본질적으로 다른 것보다 우수하지 않다. 광학적 실시 태양이 통상, 광학적 하드웨어, 소프트웨어 및/또는 펌웨어를 채용하는 당 업계의 숙련자라면 인지할 것이다.
당 업계에서는 보통, 장치 및/또는 공정이 본 명세서에 기재된 방식으로 설명되며, 이후 이와 같이 설명되고 있는 장치 및/또는 공정을 데이터 처리 시스템으로 통합하기 위한 공학적 실시가 사용됨을 당 업계의 숙련자라면 인지할 것이다. 즉, 본 명세서에서 설명되고 있는 장치 및/또는 공정의 적어도 일부는 합리적인 양의 실험을 통해 데이터 처리 시스템으로 통합될 수 있다. 당 업계의 숙련자라면 인지하는 바와 같이, 통상적인 데이터 처리 시스템은 일반적으로, 시스템 유닛 하우징, 비디오 표시 장치, 휘발성 및 비휘발성 메모리와 같은 메모리, 마이크로프로세서 및 디지털 신호 프로세서와 같은 프로세서, 작동 시스템, 드라이버, 그래픽 사용자 인터페이스 및 애플리케이션 프로그램과 같은 컴퓨터 계산 독립체, 터치 패드나 스크린과 같은 하나 이상의 상호 작용 장치, 및/또는 피드백 루프 및 제어 모터(예를 들어, 위치 및/또는 속도를 감지하기 위한 피드백; 성분 및/또는 양을 이동 및/또는 조절하기 위한 제어 모터)를 포함하는 제어 시스템 중 하나 이상을 포함한다. 통상적인 데이터 처리 시스템은, 데이터 컴퓨팅/통신 및/또는 네트워크 컴퓨팅/통신 시스템에서 통상 확인되는 바와 같은 시판되고 있는 적당한 구성 요소를 사용하여 실시될 수도 있다.
본 명세서에서 설명되고 있는 주제가 예시하는 바에 따르면, 경우에 따라, 상이한 구성 요소가 그외 다른 상이한 구성 요소의 내부에 구속되거나 연결된다. 이와 같이 도시된 아키텍쳐는 단지 예시적인 것으로, 사실상 동일한 기능성을 달성하는 그외 다른 아키텍쳐가 실시될 수 있음을 이해하여야 한다. 개념적으로, 동일한 기능성을 달성하기 위한 구성 요소의 배치가 소망하는 기능성이 달성되도록 효과적으로 "연관"된다. 그러므로, 본 명세서에서 특정 기능성을 달성하도록 조합되는 두 개의 구성 요소가 아키텍쳐 또는 중간 구성 요소와 상관없이 소망하는 기능성이 달성되도록 서로 "연관"됨을 알 수 있다. 마찬가지로, 이와 같이 연관된 두 개의 구성 요소는 또한, 소망하는 기능성을 달성하도록 서로 "연결" 또는 "결합"되는 것으로 보여질 수 있으며, 이와 같이 연관될 수 있는 두 개의 구성 요소가 또한, 소망하는 기능성을 달성하도록 서로 "결합 가능"한 것으로 보여질 수 있다. 결합 가능한의 특정 예는, 이로만 제한되는 것은 아니지만, 물리적으로 매칭될 수 있는 및/또는 물리적으로 상호 작용하는 구성 요소 및/또는 무선 방식으로 상호 작용 가능한 및/또는 무선 방식으로 상호 작용하는 구성 요소 및/또는 논리적으로 상호 작용하는 및/또는 논리적으로 상호 작용 가능한 구성 요소를 포함한다.
본 명세서에서 설명되고 있는 본 발명의 주제의 특정 태양이 도시 및 설명되고 있지만, 당 업계의 숙련자라면 분명하게 알 수 있는 바와 같이, 본 명세서의 가르침에 기초하여, 본 명세서에서 설명되고 있는 주제를 벗어나지 않고 더 광범위한 태양에 따라 변경 및 수정이 이루어질 수도 있으며, 따라서, 첨부된 특허청구범위는 본 발명의 범위 내에서, 본 명세서에서 설명되고 있는 주제의 정신 및 범위 내에 있는 이러한 모든 변경 및 수정을 포함한다.
본 발명의 특정 실시예가 예시되어 있긴 하지만, 명백한 바와 같이, 본 발명의 다양한 수정예 및 실시예가 전술한 개시 내용의 정신 및 범위를 벗어나지 않고 당 업계의 숙련자에 의해 이루어질 수도 있다. 이에 따라, 본 발명의 범위는 첨부된 특허청구범위에 의해서만 제한되어야 한다.
본 발명의 개시 내용 및 다수의 부수적인 장점이 전술한 설명으로부터 이해되는 것으로 믿어지며, 개시된 주제로부터 벗어나지 않고 또는 모든 재료의 장점을 희생하지 않고 구성 요소의 형태, 구성 및 배치에 대한 다양한 변경이 이루어질 수도 있음이 분명하다. 개시된 형태는 단지 설명을 위한 것이며, 아래의 특허청구범위는 이러한 변경을 포함하는 것을 의도로 한다.
또한, 본 발명이 첨부된 특허청구범위에 의해 정의됨을 이해하여야 한다.
200 : 시스템 202 : 조명원
206 : 공간 광 변조기 208 : 빔 스플리터
218 : 정지 개구부 220 : 렌즈
229 : 표적 230 : 웨이퍼

Claims (28)

  1. 장치에 있어서,
    조명원;
    공간 광 변조기(spatial light modulator; SLM);
    상기 조명원으로부터 방출되는 광의 일부를 조명 경로를 따라 상기 공간 광 변조기(SLM)의 표면으로 보내도록 구성되는 빔 스플리터;
    상기 조명 경로의 동공 평면(pupil plane)에 실질적으로 배치되며, 상기 SLM의 표면으로부터 보내진 광의 적어도 일부를 하나 이상의 시료(specimen)의 표면으로 전달하도록 구성되는 개구(aperture)를 구비하는 개구 정지부; 및
    상기 하나 이상의 시료의 하나 이상의 계측 표적 구조체의 하나 이상의 특성을 측정하도록 구성되는 계측 툴을 포함하며,
    상기 계측 툴은,
    상기 SLM의 표면으로부터 보내져 상기 개구 정지부의 상기 개구를 통해 전달되는 조명을 수신하도록 구성되는 입구 동공;
    상기 계측 툴의 상기 입구 동공을 통해 수신되는 조명을 수신하도록 구성되며, 또한 상기 입구 동공을 통과한 조명의 적어도 일부를 상기 하나 이상의 샘플로 보내도록 구성되는 빔 스플리터;
    상기 조명의 적어도 일부를 상기 하나 이상의 샘플의 하나 이상의 표적 구조체에 초점을 맞추도록 구성되는 대물 렌즈; 및
    상기 하나 이상의 시료의 상기 하나 이상의 표적 구조체로부터 산란되는 조명의 일부를 수집하도록 구성되는 검출기를 포함하며,
    상기 SLM은 상기 개구를 통해 전달되는 상기 조명의 조명 동공 기능을 제어하도록 구성되고, 상기 조명 동공 기능은 상기 조명원으로부터 SLM의 표면에 충돌하는 조명의 프로파일을 제어함으로써 제어되는 것인, 장치.
  2. 제 1 항에 있어서, 상기 계측 툴의 상기 검출기에 통신가능하게 연결되며, 상기 검출기로부터의 조명 수집 데이터를 사용하여 하나 이상의 오버레이(overlay) 특성을 결정하도록 구성되는 컴퓨팅 시스템을 더 포함하는, 장치.
  3. 제 1 항에 있어서, 상기 SLM 및 상기 계측 툴의 상기 검출기에 통신가능하게 연결되는 컴퓨팅 시스템을 더 포함하며, 상기 컴퓨팅 시스템은,
    상기 하나 이상의 표적 구조체의 복수 개의 필드 영상(field image) - 상기 필드 영상 각각은 상이한 조명 동공 기능에서 획득됨 - 을 상기 검출기로부터 수신하도록 구성되며;
    상기 검출기로부터의 조명 수집 데이터 및 하나 이상의 콘트라스트 계측치를 사용하여 상기 하나 이상의 표적 구조체의 상기 복수 개의 필드 영상 각각에 대한 콘트라스트 레벨을 산출하도록 구성되고;
    상기 복수 개의 필드 영상 각각에 대해 상기 산출된 콘트라스트 레벨을 사용하여 선택된 콘트라스트 문턱값을 초과하는 콘트라스트 레벨을 생성하기에 적합한 조명 기능을 결정하도록 구성되며;
    상기 선택된 콘트라스트 문턱값을 초과하는 콘트라스트 레벨을 생성하기에 적합한 조명 동공 기능을 수립하기 위하여 상기 결정된 조명 동공 기능에 응답하여 상기 SLM을 제어하도록 구성되는 것인, 장치.
  4. 제 3 항에 있어서, 상기 계측 툴은 상기 결정된 조명 동공 기능을 사용하여 한 번 이상의 계측형 측정을 수행하도록 구성되는 것인, 장치.
  5. 제 1 항에 있어서, 상기 공간 광 변조기는 회절 기반 공간 광 변조기를 포함하는 것인, 장치.
  6. 제 1 항에 있어서, 상기 공간 광 변조기는 반사 기반 공간 광 변조기를 포함하는 것인, 장치.
  7. 제 1 항에 있어서, 상기 공간 광 변조기는 미세 전자 기계 시스템(microelectro-mechanical system; MEMS) 공간 광 변조기를 포함하는 것인, 장치.
  8. 제 1 항에 있어서, 상기 공간 광 변조기는 액정 공간 광 변조기를 포함하는 것인, 장치.
  9. 제 1 항에 있어서, 상기 조명원은 하나 이상의 레이저를 포함하는 것인, 장치.
  10. 제 1 항에 있어서, 상기 하나 이상의 시료는 하나 이상의 반도체 웨이퍼를 포함하는 것인, 장치.
  11. 제 1 항에 있어서, 상기 하나 이상의 표적 구조체는 하나 이상의 박스-인-박스(box in box; BiB) 표적 또는 하나 이상의 고급 촬상 계측(advanced imaging metrology; AIM) 표적 중 적어도 하나를 포함하는 것인, 장치.
  12. 제 1 항에 있어서, 상기 검출기는 전하 결합 소자(charge-coupled device; CCD) 카메라 또는 시간 지연 통합(time delay integration; TDI) 카메라 중 적어도 하나를 포함하는 것인, 장치.
  13. 장치에 있어서,
    하나 이상의 레이저 빔을 발생시키도록 구성되는 레이저 광원;
    상기 레이저 광원의 출력부에 광학식으로 연결되는 통합 로드;
    마이크로 미러 어레이(micro mirror array; MMA) 칩;
    상기 통합 로드로부터 방출되는 광의 일부를 광학 경로를 따라 상기 MMA 칩의 표면을 향해 보내도록 구성되는 빔 스플리터;
    상기 빔 스플리터로부터의 보내진 광의 일부를 수신하고 상기 MMA 칩으로 상기 광의 일부를 중계(relay)하도록 구성되는 광학 중계 옵틱(optical relay optic)의 세트;
    하나 이상의 샘플의 하나 이상의 계측 표적 구조체의 하나 이상의 특성을 측정하도록 구성되는 계측 툴로서, 상기 계측 툴은,
    상기 MMA 칩의 표면으로부터 보내진 조명을 수신하도록 구성되는 입구 동공과;
    상기 계측 툴의 상기 입구 동공을 통해 수신되는 조명을 수신하도록 구성되며, 또한 상기 입구 동공을 통과한 조명의 적어도 일부를 상기 하나 이상의 샘플로 보내도록 구성되는 빔 스플리터;
    상기 조명의 적어도 일부를 상기 하나 이상의 샘플의 하나 이상의 표적 구조체에 초점을 맞추도록 구성되는 대물 렌즈; 및
    상기 하나 이상의 샘플의 상기 하나 이상의 표적 구조체로부터 산란되는 조명의 일부를 수집하도록 구성되는 검출기를 포함하는 것인, 상기 계측 툴; 및
    상기 계측 툴의 촬상 경로를 따라 배치되며, 상기 대물 렌즈의 동공의 촬상과 상기 하나 이상의 샘플의 촬상 사이에서 상기 검출기를 교호 작동시키도록 구성되는 제거가능한 렌즈로서, 상기 MMA 칩은 상기 대물 렌즈의 동공에 촬상되는 것인, 상기 제거가능한 렌즈를 포함하며,
    상기 MMA 칩은, 상기 레이저 광원으로부터 상기 MMA 칩의 표면에 충돌하는 조명의 프로파일을 제어하도록 구성되는 것인, 장치.
  14. 제 13 항에 있어서, 상기 광학 중계 옵틱의 세트는 슐리렌(Schlieren) 옵틱의 세트를 포함하는 것인, 장치.
  15. 제 13 항에 있어서, 상기 MMA 칩 및 상기 계측 툴의 상기 검출기에 통신가능하게 연결되는 컴퓨팅 시스템을 더 포함하며,
    상기 컴퓨팅 시스템은,
    상기 하나 이상의 표적 구조체의 복수 개의 필드 영상 - 상기 필드 영상 각각은 상이한 조명 동공 기능에서 획득됨 - 을 상기 검출기로부터 수신하도록 구성되며;
    상기 검출기로부터의 조명 수집 데이터 및 하나 이상의 콘트라스트 계측치를 사용하여 상기 하나 이상의 표적 구조체의 상기 복수 개의 필드 영상 각각에 대한 콘트라스트 레벨을 산출하도록 구성되고;
    상기 복수 개의 필드 영상의 상기 산출된 콘트라스트 레벨을 사용하여 선택된 콘트라스트 문턱값을 초과하는 콘트라스트 레벨을 생성하기에 적합한 조명기능을 결정하도록 구성되며;
    상기 선택된 콘트라스트 문턱값을 초과하는 콘트라스트 레벨을 생성하기에 적합한 조명 동공 기능을 달성하기 위하여 상기 결정된 조명 동공 기능에 응답하여 상기 MMA 칩을 제어하도록 구성되는 것인, 장치.
  16. 제 15 항에 있어서, 상기 계측 툴은 상기 결정된 조명 동공 기능을 사용하여 한 번 이상의 계측형 측정을 수행하도록 구성되는 것인, 장치.
  17. 제 13 항에 있어서, 상기 하나 이상의 샘플은 하나 이상의 반도체 웨이퍼를 포함하는 것인, 장치.
  18. 제 13 항에 있어서, 상기 검출기는 전하 결합 소자(charge-coupled device; CCD) 카메라 또는 시간 지연 통합(time delay integration; TDI) 카메라 중 적어도 하나를 포함하는 것인, 장치.
  19. 방법에 있어서,
    조명 빔을 발생시키는 단계;
    상기 발생된 빔의 일부를 공간 광 변조기(spatial light modulator; SLM)의 표면으로 보내는 단계;
    상기 SLM의 표면에 입사한 상기 발생된 빔의 적어도 일부를 개구 정지부의 개구를 통해 하나 이상의 샘플의 하나 이상의 표적 구조체로 보내는 단계;
    상기 SLM을 사용하여 상기 개구를 통해 전달되는 조명의 하나 이상의 선택된 조명 동공 기능을 발생시키는 단계; 및
    상기 선택된 조명 동공 기능을 사용하여 상기 하나 이상의 표적 구조체에 대하여 한 번 이상의 계측형 측정을 수행하는 단계를 포함하는, 방법.
  20. 제 19 항에 있어서, 상기 공간 광 변조기는 회절 기반 공간 광 변조기를 포함하는 것인, 방법.
  21. 제 19 항에 있어서, 제 1 표적 구조체가 제 1 조명 기능에서 측정되며, 적어도 제 2 표적 구조체가 상기 제 1 조명 기능과는 상이한 제 2 조명 기능에서 측정되고,
    상기 제 1 조명 기능은 상기 SLM을 사용하여 상기 제 1 표적 구조체와 매칭되며, 상기 제 2 조명 기능은 상기 SLM을 사용하여 상기 적어도 제 2 표적 구조체와 매칭되는 것인, 방법.
  22. 제 21 항에 있어서, 상기 선택된 조명 동공을 사용하여 상기 하나 이상의 표적 구조체에 대해 한 번 이상의 계측형 측정을 수행하는 단계는,
    배경 콘트라스트 레벨에 비해 높은 콘트라스트 레벨에서 획득되는, 표적의 저부층의 제 1 필드 영상을 획득하는 단계;
    선택된 콘트라스트 문턱값을 초과하는 콘트라스트 레벨에서 획득되는, 상기 표적의 상부층의 제 2 필드 영상을 획득하는 단계; 및
    상기 제 1 필드 영상과 상기 제 2 필드 영상을 조합함으로써 상기 표적의 계측형 측정 영상을 발생시키는 단계를 포함하는 것인, 방법.
  23. 방법에 있어서,
    조명 빔을 발생시키는 단계와;
    상기 발생된 빔의 일부를 공간 광 변조기의 표면으로 보내는 단계와;
    상기 공간 광 변조기의 표면에 입사한 상기 발생된 빔의 적어도 일부를 개구 정지부의 개구를 통해 하나 이상의 샘플의 하나 이상의 표적 구조체로 보내는 단계와;
    계측 툴의 검출기를 사용하여 상기 하나 이상의 샘플의 하나 이상의 표적 구조체의 복수 개의 필드 영상을 획득하는 단계로서, 각 필드 영상은 상이한 조명 동공 기능에서 획득되고, 상이한 조명 동공 기능 각각은 상기 공간 광 변조기를 사용하여 달성되는 것인, 상기 하나 이상의 표적 구조체의 복수 개의 필드 영상을 획득하는 단계;
    상이한 조명 동공 기능에서 획득된 상기 복수의 필드 영상에 기초하여 측정 조명 동공 기능을 확인하는 단계; 및
    상기 확인된 측정 조명 동공 기능을 사용하여 한 번 이상의 계측형 측정을 수행하는 단계를 포함하는, 콘트라스트 증강을 위한 방법.
  24. 제 23 항에 있어서, 상기 공간 광 변조기는 회절 기반 공간 광 변조기를 포함하는 것인, 방법.
  25. 제 23 항에 있어서, 상기 하나 이상의 표적 구조체의 복수 개의 필드 영상을 획득하는 단계는, 복수 개의 초점면 위에서 상기 하나 이상의 표적 구조체의 복수 개의 필드 영상을 획득하는 단계를 포함하는 것인, 방법.
  26. 제 23 항에 있어서, 상기 하나 이상의 표적 구조체의 복수 개의 필드 영상을 획득하는 단계는, 상기 조명 빔의 하나 이상의 스펙트럼 매개 변수의 범위에 걸쳐 상기 하나 이상의 표적 구조체의 복수 개의 필드 영상을 획득하는 단계를 포함하는 것인, 방법.
  27. 제 23 항에 있어서, 상기 하나 이상의 표적 구조체의 복수 개의 필드 영상을 획득하는 단계는, 상기 하나 이상의 시료의 하나 이상의 표적 구조체 사이트에서 상기 하나 이상의 표적 구조체의 복수 개의 필드 영상을 획득하는 단계를 포함하는 것인, 방법.
  28. 제 23 항에 있어서, 상기 측정 조명 동공 기능은 웨이퍼 위치 또는 필드 위치 중 적어도 하나의 기능으로서 상기 SLM의 구성을 수정함으로써 달성되는 것인, 방법.
KR1020197012542A 2011-02-10 2012-02-08 오버레이 계측의 콘트라스트 증강을 위한 구조화 조명 KR102068950B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161441540P 2011-02-10 2011-02-10
US201161441553P 2011-02-10 2011-02-10
US61/441,553 2011-02-10
US61/441,540 2011-02-10
PCT/US2012/024320 WO2012109348A1 (en) 2011-02-10 2012-02-08 Structured illumination for contrast enhancement in overlay metrology

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020137023953A Division KR101976152B1 (ko) 2011-02-10 2012-02-08 오버레이 계측의 콘트라스트 증강을 위한 구조화 조명

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020197038074A Division KR102175027B1 (ko) 2011-02-10 2012-02-08 오버레이 계측의 콘트라스트 증강을 위한 구조화 조명

Publications (2)

Publication Number Publication Date
KR20190049936A true KR20190049936A (ko) 2019-05-09
KR102068950B1 KR102068950B1 (ko) 2020-01-21

Family

ID=46638944

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020197012542A KR102068950B1 (ko) 2011-02-10 2012-02-08 오버레이 계측의 콘트라스트 증강을 위한 구조화 조명
KR1020197038074A KR102175027B1 (ko) 2011-02-10 2012-02-08 오버레이 계측의 콘트라스트 증강을 위한 구조화 조명
KR1020137023953A KR101976152B1 (ko) 2011-02-10 2012-02-08 오버레이 계측의 콘트라스트 증강을 위한 구조화 조명

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020197038074A KR102175027B1 (ko) 2011-02-10 2012-02-08 오버레이 계측의 콘트라스트 증강을 위한 구조화 조명
KR1020137023953A KR101976152B1 (ko) 2011-02-10 2012-02-08 오버레이 계측의 콘트라스트 증강을 위한 구조화 조명

Country Status (4)

Country Link
US (3) US9104120B2 (ko)
KR (3) KR102068950B1 (ko)
TW (2) TWI536118B (ko)
WO (1) WO2012109348A1 (ko)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012109348A1 (en) * 2011-02-10 2012-08-16 Kla-Tencor Corporation Structured illumination for contrast enhancement in overlay metrology
US9989412B2 (en) * 2011-10-25 2018-06-05 Daylight Solutions, Inc. Low-noise spectroscopic imaging system
US10365158B2 (en) * 2011-10-25 2019-07-30 Daylight Solutions, Inc. Low-noise spectroscopic imaging system
FR2994263B1 (fr) * 2012-08-02 2018-09-07 Vit Procede et dispositif d'identification de materiaux dans une scene
US9123649B1 (en) 2013-01-21 2015-09-01 Kla-Tencor Corporation Fit-to-pitch overlay measurement targets
US9885961B1 (en) * 2013-05-29 2018-02-06 Kla-Tencor Corporation Partly disappearing targets
US11629951B2 (en) * 2013-07-09 2023-04-18 Auburn University Determining geometric characteristics of reflective surfaces and transparent materials
US9189705B2 (en) 2013-08-08 2015-11-17 JSMSW Technology LLC Phase-controlled model-based overlay measurement systems and methods
US10401279B2 (en) 2013-10-29 2019-09-03 Kla-Tencor Corporation Process-induced distortion prediction and feedforward and feedback correction of overlay errors
US11803044B2 (en) 2014-01-18 2023-10-31 Daylight Solutions, Inc. Low-noise spectroscopic imaging system with steerable substantially coherent illumination
NL2015160A (en) 2014-07-28 2016-07-07 Asml Netherlands Bv Illumination system, inspection apparatus including such an illumination system, inspection method and manufacturing method.
US20170227447A1 (en) * 2014-08-06 2017-08-10 Beckman Coulter, Inc. Evaluation of multi-peak events using a flow cytometer
WO2016045945A1 (en) * 2014-09-26 2016-03-31 Asml Netherlands B.V. Inspection apparatus and device manufacturing method
CN105807573B (zh) * 2014-12-31 2017-12-29 上海微电子装备(集团)股份有限公司 用于套刻误差检测的装置和方法
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
TWI752764B (zh) * 2015-05-19 2022-01-11 美商克萊譚克公司 用於疊對測量之形貌相位控制
US10345721B1 (en) 2015-06-16 2019-07-09 Kla-Tencor Corporation Measurement library optimization in semiconductor metrology
US20170026784A1 (en) * 2015-07-24 2017-01-26 Qualcomm Incorporated Mapping multiple antenna systems using crowdsourcing data
NL2017766A (en) 2015-12-09 2017-06-14 Asml Holding Nv A flexible illuminator
WO2017102304A1 (en) 2015-12-17 2017-06-22 Asml Netherlands B.V. Adjustment of a metrology apparatus or a measurement thereby based on a characteristic of a target measured
CN107340689B (zh) * 2016-02-29 2019-10-25 上海微电子装备(集团)股份有限公司 一种测量套刻误差的装置和方法
US9754895B1 (en) * 2016-03-07 2017-09-05 Micron Technology, Inc. Methods of forming semiconductor devices including determining misregistration between semiconductor levels and related apparatuses
KR102169436B1 (ko) 2016-03-07 2020-10-26 에이에스엠엘 네델란즈 비.브이. 조명 시스템 및 계측 시스템
CN107329379B (zh) * 2016-04-29 2019-01-18 上海微电子装备(集团)股份有限公司 双层对准装置和双层对准方法
JP6744437B2 (ja) * 2016-06-30 2020-08-19 エーエスエムエル ホールディング エヌ.ブイ. オーバーレイおよびクリティカルディメンションセンサにおける瞳照明のための方法およびデバイス
CN106200276B (zh) * 2016-07-19 2017-10-24 西安电子科技大学 基于随机散射介质的可控亚波长无掩模光刻系统和方法
CN109690234B (zh) 2016-09-15 2021-09-14 科磊股份有限公司 用于优化以成像为基础的覆盖度量的聚焦的系统及方法
US10897566B2 (en) 2016-09-28 2021-01-19 Kla-Tencor Corporation Direct focusing with image binning in metrology tools
KR102370347B1 (ko) * 2017-02-02 2022-03-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치 및 연계된 컴퓨터 제품
US10657422B2 (en) 2017-04-20 2020-05-19 The Boeing Company Methods and systems for hyper-spectral systems
CN108801982A (zh) * 2017-04-27 2018-11-13 上海交通大学 一种测量半透明介质各向异性散射特性的方法
EP3404488A1 (en) 2017-05-19 2018-11-21 ASML Netherlands B.V. Method of measuring a target, metrology apparatus, lithographic cell, and target
KR20190052885A (ko) * 2017-11-09 2019-05-17 삼성전자주식회사 다중층 구조 검사 장치와 방법, 및 그 방법을 구비한 반도체 소자 제조방법
US20220334499A1 (en) * 2019-09-10 2022-10-20 Asml Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
US11933717B2 (en) 2019-09-27 2024-03-19 Kla Corporation Sensitive optical metrology in scanning and static modes
US11784077B2 (en) 2019-12-18 2023-10-10 Micron Technology, Inc. Wafer overlay marks, overlay measurement systems, and related methods
US11719533B2 (en) * 2021-03-28 2023-08-08 Kla Corporation Modulation of scanning velocity during overlay metrology
KR102524462B1 (ko) 2022-03-28 2023-04-21 (주)오로스 테크놀로지 오버레이 측정장치

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5986740A (en) * 1996-06-07 1999-11-16 Sharp Kabushiki Kaisha Liquid crystal diffractive spatial light modulator and display having two switchable retarders and one passive retarder
WO2002027410A1 (en) * 2000-09-28 2002-04-04 Infineon Technologies North America Corp. Contrast enhancement for lithography alignment mark recognition
KR20050057279A (ko) * 2002-09-09 2005-06-16 지고 코포레이션 박막 구조의 특징화를 포함하여, 타원편광 측정, 반사 측정및 산란 측정을 위한 간섭측정 방법
US20070279630A1 (en) * 2006-06-01 2007-12-06 Kla-Tencor Technologies Corporation Order selected overlay metrology
JP2010067301A (ja) * 2008-09-09 2010-03-25 Sony Corp 再生装置、再生方法
KR101274517B1 (ko) * 2007-11-13 2013-06-13 지고 코포레이션 편광 스캐닝을 이용한 간섭계

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US6172349B1 (en) 1997-03-31 2001-01-09 Kla-Tencor Corporation Autofocusing apparatus and method for high resolution microscope system
AU2001253648A1 (en) * 2000-04-18 2001-10-30 Imax Corporation Methods and systems for low loss separation and combination of light
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US7339148B2 (en) * 2002-12-16 2008-03-04 Olympus America Inc. Confocal microscope
TW200521477A (en) * 2003-09-25 2005-07-01 Matsushita Electric Ind Co Ltd Projector and projection method
US7153616B2 (en) * 2004-03-31 2006-12-26 Asml Holding N.V. System and method for verifying and controlling the performance of a maskless lithography tool
US20090141325A1 (en) * 2005-03-07 2009-06-04 Makoto Sato Hologram Device and Recording Method
JP2010091957A (ja) * 2008-10-10 2010-04-22 Sony Corp 記録再生装置、偏光方向制御方法
JP2010164832A (ja) * 2009-01-16 2010-07-29 Sony Corp 光照射装置、光照射方法
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
WO2012109348A1 (en) * 2011-02-10 2012-08-16 Kla-Tencor Corporation Structured illumination for contrast enhancement in overlay metrology

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5986740A (en) * 1996-06-07 1999-11-16 Sharp Kabushiki Kaisha Liquid crystal diffractive spatial light modulator and display having two switchable retarders and one passive retarder
WO2002027410A1 (en) * 2000-09-28 2002-04-04 Infineon Technologies North America Corp. Contrast enhancement for lithography alignment mark recognition
KR20050057279A (ko) * 2002-09-09 2005-06-16 지고 코포레이션 박막 구조의 특징화를 포함하여, 타원편광 측정, 반사 측정및 산란 측정을 위한 간섭측정 방법
US20070279630A1 (en) * 2006-06-01 2007-12-06 Kla-Tencor Technologies Corporation Order selected overlay metrology
KR101274517B1 (ko) * 2007-11-13 2013-06-13 지고 코포레이션 편광 스캐닝을 이용한 간섭계
JP2010067301A (ja) * 2008-09-09 2010-03-25 Sony Corp 再生装置、再生方法

Also Published As

Publication number Publication date
KR20200000474A (ko) 2020-01-02
TW201245896A (en) 2012-11-16
TW201530267A (zh) 2015-08-01
KR101976152B1 (ko) 2019-05-09
KR20140006946A (ko) 2014-01-16
TWI620994B (zh) 2018-04-11
US20170307523A1 (en) 2017-10-26
US20120206729A1 (en) 2012-08-16
US20160003735A1 (en) 2016-01-07
TWI536118B (zh) 2016-06-01
US9645079B2 (en) 2017-05-09
KR102068950B1 (ko) 2020-01-21
KR102175027B1 (ko) 2020-11-06
WO2012109348A1 (en) 2012-08-16
US10274425B2 (en) 2019-04-30
US9104120B2 (en) 2015-08-11

Similar Documents

Publication Publication Date Title
KR101976152B1 (ko) 오버레이 계측의 콘트라스트 증강을 위한 구조화 조명
KR101982363B1 (ko) 조명 제어
TWI827841B (zh) 自動顯微鏡聚焦系統、裝置及方法
JP4260587B2 (ja) パターン欠陥検査装置
US20010009473A1 (en) Confocal microscope and wide field microscope
JP2530081B2 (ja) マスク検査装置
JP6364193B2 (ja) 焦点位置調整方法および検査方法
JP6004126B1 (ja) 検査装置、及びそのフォーカス調整方法
US9786057B2 (en) Inspection apparatus, coordinate detection apparatus, coordinate detection method, and wavefront aberration correction method
JP6462843B1 (ja) 検出方法、検査方法、検出装置及び検査装置
JP4090860B2 (ja) 3次元形状測定装置
JP2013061185A (ja) パターン検査装置およびパターン検査方法
KR20140002609A (ko) 계측을 위한 광학계 대칭화
JP2008215833A (ja) 光学特性測定装置および光学特性測定方法
JP2008058248A (ja) 回折光検出装置および検査システム
JP2002006226A (ja) 検査装置
KR101239409B1 (ko) 2d 형상 정보와 3d 형상 정보의 동시 획득이 가능하며 레이저와 백색광을 광원으로 한 위상천이기반 형상측정장치 및 형상측정방법
JPWO2010137637A1 (ja) 形状測定装置、形状測定方法、および、製造方法
RU2481555C1 (ru) Оптическая измерительная система и способ измерения критического размера наноструктур на плоской поверхности
JP2011254027A (ja) 露光装置
JP2008046361A (ja) 光学システム及び光学システムの制御方法
JP2010139419A (ja) 形状測定装置
JP4406873B2 (ja) スキャン測定検査装置
JP2004294387A (ja) レンズの性能評価装置
JP2005188986A (ja) 高さ検査装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant