TW201530267A - 在疊對精密測定中用於對比增強的結構照明 - Google Patents

在疊對精密測定中用於對比增強的結構照明 Download PDF

Info

Publication number
TW201530267A
TW201530267A TW104111154A TW104111154A TW201530267A TW 201530267 A TW201530267 A TW 201530267A TW 104111154 A TW104111154 A TW 104111154A TW 104111154 A TW104111154 A TW 104111154A TW 201530267 A TW201530267 A TW 201530267A
Authority
TW
Taiwan
Prior art keywords
illumination
slm
field
precision measurement
spatial light
Prior art date
Application number
TW104111154A
Other languages
English (en)
Other versions
TWI620994B (zh
Inventor
Joel Seligson
Noam Sapiens
Daniel Kandel
Original Assignee
Kla Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kla Tencor Corp filed Critical Kla Tencor Corp
Publication of TW201530267A publication Critical patent/TW201530267A/zh
Application granted granted Critical
Publication of TWI620994B publication Critical patent/TWI620994B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

本發明揭示一精密測定工具中之對比增強,其可包含:產生一照明光束;將該所產生光束之一部分引導至一空間光調變器(SLM)之一表面上;將入射於該SLM之該表面上之該所產生光束之至少一部分引導穿過一孔徑光闌之一孔隙且引導至一或多個樣本之一或多個目標結構上;及利用該SLM來產生傳輸穿過該孔隙之該照明之一選定照明光瞳函數,以便確立該一或多個目標結構之一或多個視場影像之超過一選定對比臨限值的一對比位準;及利用該選定照明光瞳函數來對該一或多個目標結構執行一或多次精密測定量測。

Description

在疊對精密測定中用於對比增強的結構照明
本發明大體而言係關於疊對精密測定,且更特定而言係關於一種用於增加一疊對精密測定系統中之目標對比之系統。相關申請案之交叉參考
本申請案係關於且依據35 USC § 119(e)主張來自以下所列申請案(「相關申請案」)之最早可用有效申請日期之權益(例如,主張除臨時專利申請案以外之最早可用優先權日期或主張臨時專利申請案、相關申請案之任一及所有父代申請案、祖父代申請案、曾祖父代申請案等之權益)。
相關申請案:
出於USPTO額外法定要求之目的,本申請案構成指定Joel Seligson、Noam Sapiens及Daniel Kandel作為發明人於2011年2月10日申請之申請案序號為61/441,540且標題為STRUCTURED ILLUMINATION FOR CONTRAST ENHANCEMENT IN OVERLAY METROLOGY之美國臨時專利申請案之一正式(非臨時)專利申請案。
出於USPTO額外法定要求之目的,本申請案構成指定Joel Seligson、Noam Sapiens及Daniel Kandel作為發明人於2011年2月10日申請之申請案序號為61/441,553且標題為STRUCTURED ILLUMINATION FOR CONTRAST ENHANCEMENT IN OVERLAY METROLOGY之美國臨時專利申請案之一正式(非臨時)專利申請案。
在各種各樣之製造及產品設定中,需要控制一既定樣本之各種層之間或特定層內之對準。舉例而言,在半導體處理之上下文中,可藉由在一基板上製作一系列層來產生以半導體為基礎之裝置,該等層中之某些或全部層包含各種結構。在一單個層內及相對於其他層中之結構兩者之此等結構之相對位置對裝置之效能而言至關重要。各種結構之間的誤對準稱為疊對誤差。
習用疊對精密測定系統(諸如以成像或散射測量為基礎之系統)通常係基於明視場照明顯微鏡,其中一專用精密測定目標(其含有來自至少兩個單獨處理步驟之空間資訊)經成像至一個二維感測器陣列上。圖1圖解說明一習用疊對精密測定系統100。系統100可包含:一照明源102(例如,寬頻或窄頻源);一光學器件組108;一光束分裂器104,該光束分裂器104經組態以將一光束112引導至一物鏡114,該物鏡114又將該光聚焦至安置於一樣本台118上之晶圓116之一或多個目標117上。該光然後自晶圓116之一精密測定目標117散射且沿成像路徑110傳輸至偵測器106之一成像平面上。某些精密測定系統係由一雙光束(例如,照明路徑及參考路徑)干涉(interferometric)組態組成。習用雙光束精密測定系統包含一參考光學器件組120,該等參考光學器件包含(但不限於)一參考反射鏡、一參考物鏡、及一快門,該快門經組態以選擇性阻擋參考路徑122。在一般意義上,一雙光束干涉(interference)光學系統可經組態為一林尼克(Linnik)干涉儀。林尼克干涉法大體闡述於1989年4月4日發行之美國專利第4,818,110號及2001年1月9日發行之美國專利第6,172,349號中,該等美國專利以引用之方式 併入本文中。
對一晶圓上之連續經圖案化層之間的疊對誤差之量測係積體電路及裝置之製造中所使用之最重要製程控制技術中之一者。疊對準確度大體而言係關於對一第一經圖案化層相對於安置於其上面或其下面之一第二經圖案化層對準之準確程度的判定及係關於對一第一圖案相對於安置於相同層上之一第二圖案對準之準確程度的判定。目前,疊對量測係經由與晶圓之層一起印刷之測試圖案來執行。此等測試圖案之影像係經由一成像工具擷取,且使用一分析演算法來依據所擷取影像計算(例如,使用耦合至偵測器106之一輸出之一計算系統124來計算)該等圖案之相對位移。此等疊對精密測定目標(或「標記」)通常包括形成呈兩個層之特徵,該等特徵經組態以達成對該等層之特徵之間的空間位移(亦即,層之間的疊對或位移)之量測。
然而,疊對量測精確度係受一既定精密測定系統中可達成對比之位準限制。一光學精密測定系統中之對比通常係受最低對比目標特徵之影像投影之峰谷差的約束。此外,精密測定準確度及系統偏移(TIS)效能係受對比限制,通常亦受最低對比目標特徵之影像投影之峰谷差的約束。在諸多精密測定目標架構中,當自不同入射角照明(亦即,自照明光瞳之不同位置照明)時,可發生一邊緣或週期特徵之一對比反轉。當同時自多個入射角照明一目標時,對比反轉之效應可用於在來自多個入射角之光整合於影像平面時減少或甚至完全消除所觀測之對比。
習用光學精密測定系統利用固定孔徑及固定孔徑之橫行移動(例如,使用壓電控制)來控制對比。習用系統係部分受限的,此乃因其二元性質(亦即,開或關)。儘管現有目標及目標量測系統適合於諸多實施方案上下文,但本文中預期可進行諸多改良。本文中所闡述之發明揭示克服先前技術之缺點之方法及設備。
揭示一種適合於一精密測定工具中之對比增強之設備。在一項態樣中,適合於一精密測定工具中之對比增強之該設備可包含(但不限於):一照明源;一空間光調變器(SLM);一光束分裂器,其經組態以將自該照明源發出之光之一部分沿一照明路徑引導至該空間光調變器(SLM)之一表面;一孔徑光闌,其實質上安置於該照明路徑之一光瞳平面處,該孔徑光闌具有一孔隙,該孔隙經組態以將自該SLM之該表面引導之光之至少一部分傳輸至一或多個試樣之一表面;及一精密測定工具,其經組態以量測該一或多個試樣之一或多個精密測定目標結構之一或多個特性,該精密測定工具包括:一入射光瞳,其經組態以接收自該SLM之該表面引導且傳輸穿過該孔徑光闌之該孔隙之照明;一光束分裂器,其經組態以接收透過該精密測定工具之該入射光瞳所接收之照明,該光束分裂器進一步經組態以將通過該入射光瞳之該照明之至少一部分引導至一或多個樣本;一物鏡透鏡,其經組態以將該照明之該至少一部分聚焦至該一或多個樣本之一或多個目標結構上;及一偵測器,其經組態以收集自該一或多個樣本之該一或多個目標結構散射之照明之一部分,其中該SLM經組態以藉由控制傳輸穿過該孔隙之該照明之一照明光瞳函數來控制該精密測定工具之一對比位準,該照明光瞳函數係藉由控制自該照明源照射於該SLM之該表面上之照明之一輪廓來控制。
在另一態樣中,適合於一精密測定工具中之對比增強之設備可包含(但不限於):一雷射光源,其經組態以產生一或多個雷射光束;一積分柱,其光學耦合至該雷射源之一輸出;一微反射鏡陣列(MMA)晶片;一光束分裂器,其經組態以將自該積分柱發出之光之一部分沿一光學路徑朝向該MMA晶片之一表面引導;一組光學中繼光學器件,其經組態以自該光束分裂器接收光之該引導部分並將光之該部分 中繼至該MMA晶片;一精密測定工具,其經組態以量測一或多個樣本之一或多個精密測定目標結構之一或多個特性,該精密測定工具包括:一入射光瞳,其經組態以接收自該MMA晶片之該表面引導之照明;一光束分裂器,其經組態以接收透過該精密測定工具之該入射光瞳所接收之照明,該光束分裂器進一步經組態以將通過該入射光瞳之該照明之至少一部分引導至該一或多個樣本;一物鏡透鏡,其經組態以將該照明之該至少一部分聚焦至該一或多個樣本之一或多個目標結構上;及一偵測器,其經組態以收集自該一或多個樣本之該一或多個目標結構散射之照明之一部分,一可移除透鏡,其沿該精密測定工具之一成像路徑定位且經組態以使該偵測器在將該一或多個樣本成像與該物鏡之一光瞳之間交替,該MMA晶片係成像至該物鏡之該光瞳,其中該MMA晶片經組態以藉由控制自該雷射源照射於該MMA晶片之該表面上之照明之一輪廓來控制該精密測定工具之一對比位準。
揭示一種用於一精密測定工具中之對比增強之方法。在一項態樣中,用於一精密測定工具中之對比增強之方法可包含(但不限於):產生一照明光束;將該所產生光束之一部分引導至一空間光調變器(SLM)之一表面上;將入射於該SLM之該表面上之該所產生光束之至少一部分引導穿過一孔徑光闌之一孔隙且引導至一或多個樣本之一或多個目標結構上;及利用該SLM來產生傳輸穿過該孔隙之該照明之一選定照明光瞳函數,以便確立該一或多個目標結構之一或多個視場影像之超過一選定對比臨限值的一對比位準;及利用該選定照明光瞳函數來對該一或多個目標結構執行一或多次精密測定量測。
揭示一種用於一精密測定工具中之對比增強之方法。在一項態樣中,用於一精密測定工具中之對比增強之方法可包含(但不限於):產生一照明光束;將該所產生光束之一部分引導至一空間光調變器之一表面上;將入射於該空間光調變器之該表面上之該所產生光束之至 少一部分引導穿過一孔徑光闌之一孔隙且引導至一或多個樣本之一或多個目標結構上;及利用一精密測定工具之一偵測器來獲取一或多個樣本之該一或多個目標結構之複數個視場影像,每一視場影像係以一不同照明光瞳函數獲取,其中該等不同照明光瞳函數中之每一者係利用該空間光調變器來達成;藉由判定針對該一或多個目標結構之該複數個視場影像中之每一者之一對比位準來計算複數個對比位準;識別一量測照明光瞳函數,其中該量測照明光瞳函數對應於該複數個對比位準中之最大者;及利用該經識別量測照明光瞳函數來執行一或多次精密測定量測。
應理解,前述大體說明及以下詳細說明兩者皆僅為例示性及解釋性且不必限制所請求之本發明。併入本說明書中並構成本說明書之一部分之附圖圖解說明本發明之實施例,並與該大體說明一起用於解釋本發明之原理。
100‧‧‧習用疊對精密測定系統
102‧‧‧照明源
104‧‧‧光束分裂器
106‧‧‧偵測器
108‧‧‧光學器件組
110‧‧‧成像路徑
112‧‧‧光束
114‧‧‧物鏡
116‧‧‧晶圓
117‧‧‧目標
118‧‧‧樣本台
120‧‧‧參考光學器件組
122‧‧‧參考路徑
124‧‧‧計算系統
200‧‧‧系統
202‧‧‧照明源
204‧‧‧光束分裂器
206‧‧‧空間光調變器
208‧‧‧光束分裂器
210‧‧‧照明路徑
212‧‧‧第一照明組態
214‧‧‧第二照明組態
216‧‧‧第一傅立葉透鏡
218‧‧‧孔徑光闌
219‧‧‧孔隙
220‧‧‧第二傅立葉透鏡
221‧‧‧照明
222‧‧‧入射光瞳
224‧‧‧疊對精密測定工具
226‧‧‧精密測定光學器件組
228‧‧‧物鏡
229‧‧‧目標
230‧‧‧晶圓
232‧‧‧樣本台
234‧‧‧光束分裂器
238‧‧‧成像光學器件
240‧‧‧偵測器
242‧‧‧計算系統
244‧‧‧載體媒體
246‧‧‧程式指令
302a‧‧‧視場影像
302b‧‧‧視場影像
302c‧‧‧視場影像
304a‧‧‧照明光瞳影像
304b‧‧‧照明光瞳影像
304c‧‧‧照明光瞳影像
400‧‧‧系統
402‧‧‧雷射光源
404‧‧‧微反射鏡成像裝置
406‧‧‧微反射鏡陣列晶片
408‧‧‧精密測定工具
410‧‧‧積分柱
412‧‧‧導向反射鏡
414‧‧‧光束分裂器
416‧‧‧紋影光學器件
418‧‧‧出射透鏡
422‧‧‧入射光瞳
423‧‧‧光束
424‧‧‧光束分裂器
426‧‧‧物鏡
428‧‧‧樣本
430‧‧‧成像光學器件
432‧‧‧可移除反射鏡
434‧‧‧偵測器
在附圖中:圖1係一習用疊對精密測定量測系統之示意圖。
圖2A係根據本發明之一項實施例之適合於一精密測定工具中之對比增強之一系統之示意圖。
圖2B係根據本發明之一項實施例之適合於一精密測定工具中之對比增強之一系統之一精密測定工具之示意圖。
圖2C係根據本發明之一項實施例之適合於一精密測定工具中之對比增強之一系統之示意圖。
圖3A係根據本發明之一項實施例之一疊對目標之一視場影像及一對應照明光瞳影像之一概念圖。
圖3B係根據本發明之一項實施例之一疊對目標之一視場影像及一對應照明光瞳影像之一概念圖。
圖3C係根據本發明之一項實施例之一疊對目標之一視場影像及一對應照明光瞳影像之一概念圖。
圖4係根據本發明之一較佳實施例之適合於一精密測定工具中之對比增強之一系統之示意圖。
圖5係根據本發明之一項實施例之用於一精密測定工具中之對比增強之一方法之處理流程圖。
圖6係根據本發明之一項實施例之用於一精密測定工具中之對比增強之一方法之處理流程圖。
熟習此項技術者可藉由參考附圖而更好理解本發明之眾多優點。
現在將詳細參考所揭示之標的物,該標的物圖解說明於附圖中。
大體參考圖2A至圖4,根據本發明闡述適合於提供藉助一精密測定工具所量測之一疊對精密測定目標之對比增強之系統200及400。目前最先進技術之疊對精密測定目標相關聯之一個限制包含缺少與目標之小量測結構相關聯之資訊內容(亦即,對比位準)之可能性。系統200及400係針對提供經增強之對比位準以抵消一或多個目標結構中之低對比之存在。在一般意義上,一目標影像中之對比係用於分析既定目標(例如,箱中箱(box-in-box;BiB)目標或先進成像精密測定(AIM)目標)之光之照明光瞳結構之一強函數。系統200及400係針對利用由定位於一正實施之精密測定工具之照明路徑內之一空間光調變器控制之結構照明以便增強與一或多個晶圓樣本之一或多個疊對目標之一或多個量測結構相關聯之對比位準。
在本文中注意,在本發明通篇中,術語「晶圓」及「樣本」係可互換使用。如本發明通篇使用,術語「晶圓」及「樣本」通常指代 由一半導體或非半導體材料形成之一基板。舉例而言,一半導體或非半導體材料可包含(但不限於)單晶矽、砷化鎵、及磷化銦。一晶圓可包含一或多個層。舉例而言,此等層可包含(但不限於)一抗蝕劑、一電介質材料、一導電材料及一半導電材料。諸多不同類型之此等層係此項技術中所習知的,且如本文中所使用之術語晶圓意欲囊括所有類型之此等層可形成於其上之一晶圓。一典型半導體處理包含按批進行之晶圓處理。如本文中所使用,一「批」係一起經處理之晶圓之一群組(例如25個晶圓一組)。該批中之每一晶圓包括藉助微影處理工具(例如,步進器、掃描器等)產生之諸多曝露場。每一場內可存在多個晶粒。一晶粒係最終變成一單個晶片之功能性單元。在產品晶圓上,疊對精密測定目標通常置於劃線區域中(舉例而言,在該場之4個拐角中)。此係通常繞該曝露場之周界(及在該晶粒外部)無電路之一區。在某些例項中,將疊對目標置於係介於晶粒之間但不在該場之周界處之區之道中。置於產品晶圓上在主要晶粒區域內之疊對目標係相當罕見,此乃因電路特別需要此區域。
形成於一晶圓上之一或多個層可經圖案化或不經圖案化。舉例而言,一晶圓可包含複數個晶粒,每一晶粒具有可重複經圖案化之特徵。此等材料層之形成及處理可最終產生完整裝置。可在一晶圓上形成諸多不同類型之裝置,且如本文中所使用之術語晶圓意欲囊括於其上製作此項技術中所習知之任一類型之裝置之一晶圓。
現在參考圖2A至圖2B,根據本發明闡述適合於提供藉助一精密測定工具量測之一疊對精密測定之對比增強之系統200。適合於一疊對精密測定目標之對比增強之系統200可包含:一照明源202;一光束分裂器208;一空間光調變器(SLM)206;一孔徑光闌218,其具有一孔隙219;及一疊對精密測定工具224,其經組態以量測安置於一樣本台232上之一或多個晶圓230之一或多個疊對目標229之一或多個精密 測定特徵(例如,疊對誤差)。在一進一步態樣中,系統200可包含一第一傅立葉(Fourier)透鏡216及一第二傅立葉透鏡220。
在本發明之一項態樣中,SLM 206經組態以控制精密測定工具224之一對比位準。就此而言,系統200之SLM 206藉由控制穿過系統200之孔隙219之照明之照明光瞳函數來控制使用精密測定工具224所獲得之一或多個視場影像之對比。在本文中注意,將一SLM 206引入至一精密測定系統之照明路徑210中提供對照明之照明光瞳結構之完全空間控制,藉此允許系統200控制一或多個晶圓230之一經檢查精密測定目標229之最低對比特徵之對比位準。就此而言,系統200之SLM 206可用於藉由控制自照明源202照射於SLM之表面上之照明之輪廓來控制照明光瞳函數(且藉此目標對比)。舉例而言,如圖2A中所示,SLM 206可經組態以可選擇地產生一第一照明組態212及一第二照明組態214。
在一項實施例中,本發明之SLM 206可包含(但不限於)一以微機電系統(MEMS)為基礎之SLM裝置。舉例而言,SLM 206可包含(諸如)一以繞射為基礎之SLM,但不限於一微反射鏡陣列(MMA)晶片,如本文中進一步所論述。藉由另一實例之方式,SLM 206可包含一以反射為基礎之SLM。在另一實施例中,本發明之SLM 206包含一以液晶為基礎之SLM。
現在參考圖3A至圖3C,圖解說明一系列照明光瞳影像(例如,304a、304b及304c)及其對應視場影像(例如,302a、302b及302c)。在本文中注意,照明光瞳影像之「白色部分」對應於照明角。在本文中進一步認識到,具有一特定入射角範圍的入射於一目標229上之照明可產生具有在自一內邊緣向外之遍曆處增加之一邊緣函數之一視場影像,而一不同入射角範圍可產生具有在自一內邊緣向外之遍曆處減少之一邊緣函數之一視場影像。此等兩個組態之組合可形成具有增加信 號強度但具有減弱對比之一影像,如圖3C中所圖解說明,此又可消極地影響精密測定效能。
針對與目標302a、302b及302c之視場影像之獲取相關聯之特定情況集合,申請人已發現一環形照明場304a產生最高對比視場影像,如圖3A中所圖解說明。在本文中注意,最終較佳照明場可取決於各種各樣之因素。此等因素包含(但不限於)特定特徵、地形及堆疊參數。如此,照明光瞳場302a、302b及302c不應解釋為對本發明之限制,而係在性質上僅為說明性。
注意,SLM 206可藉由調整自照明源202照射於SLM 206之表面上之照明之照明輪廓來達成多個照明光瞳函數,諸如圖3A至圖3C中所繪示之彼等。此能力允許藉由依據照明光瞳影像監視一或多個疊對目標229之一或多個視場影像之對比來訓練系統200(或本文中進一步所論述之系統400)。一旦一最佳化或幾乎最佳化照明光瞳函數已達成,則系統200(經由使用者選擇或經由計算系統242控制)可使用經最佳化之照明光瞳函數來執行疊對精密測定量測。本文中進一步更詳細論述與將使用系統200(或系統400)所獲得之目標影像之對比最佳化相關聯之訓練及量測過程。
系統200之照明源202可包含此項技術中所習知之任一照明源。在一項實施例中,照明源202可包含一窄頻光源。應認識到,任一習知窄頻光源係適合於本發明中之實施方案。舉例而言,在一較佳實施例中,照明源202可包含(但不限於)一或多個雷射光源。舉例而言,照明源202可包含(但不限於)一種二極體驅動固態(DPSS)雷射(例如,532nm DPSS Nd:YAG CW雷射)。在一項實施例中,照明源202可包含一寬頻光源(例如,白色光源)。舉例而言,照明源202可包含(但不限於)一鹵素光源(HLS)。舉例而言,鹵素光源可包含(但不限於)一以鎢為基礎之鹵素燈。在另一實例中,照明源202可包含一氙弧燈。 本文中認識到,當實施一寬頻源時,可結合一寬頻源利用一以反射為基礎之SLM。在另一例項中,認識到,可使一既定寬頻源之輸出之光譜變窄。舉例而言,可使一寬頻源之輸出變窄至大約10nm。熟習此項技術者應認識到,存在其中一寬頻源可在本發明之上下文中利用之各種各樣之設定。如此,以上所提供之說明不應解釋為限制性而僅為一圖解說明。
在本發明之另一態樣中,光束分裂器204經組態以將自照明源202發出之光之一部分朝向SLM 206之表面引導。就此而言,光束分裂器204可將自一照明源202發出之光束分裂成兩個光束以使得該等光束中之至少一者係朝向SLM 206之表面引導。光束分裂器204進一步經組態以允許經由孔隙119將自SLM 206之表面發出之照明傳輸朝向一或多個晶圓230。本文中預期,此項技術中所習知之任一光束分裂技術係適合於本發明中之實施方案。
在本發明之另一態樣中,將孔徑光闌218安置於照明路徑212之一光瞳平面處。以此方式,孔徑光闌218經配置以使得孔徑光闌218之孔隙219經定位以將自SLM 206之表面引導且穿過光束分裂器204之光之一部分傳輸至一或多個晶圓230之一表面。
在本發明之另一態樣中,第一傅立葉透鏡216可定位於SLM與孔隙219之間,而一第二傅立葉透鏡220可定位於孔隙219與精密測定工具224之精密測定光學器件226(例如,物鏡透鏡228、成像光學器件238及諸如此類)之一入射光瞳222之間。在一進一步實施例中,第一傅立葉透鏡216可經組態以對自SLM接收之照明212執行一傅立葉轉換(Fourier transform)。此外,第二傅立葉透鏡220可對通過孔隙219之照明執行一相反傅立葉轉換。就此而言,孔徑光闌218之孔隙219可經組態為一傅立葉孔隙。第二傅立葉透鏡220可進一步經組態以將照明221朝向精密測定工具224之精密測定光學器件226之入射光瞳222引導。
在本發明之一項態樣中,如圖2B中所示,系統200之精密測定工具224可包含一入射光瞳222、一精密測定光學器件組226及一偵測器240。在一進一步態樣中,精密測定工具224之入射光瞳222經組態以接收自SLM 206引導且通過孔隙219之照明。以此方式,入射光瞳222充當至精密測定工具224之精密測定光學器件226(例如,物鏡228、成像光學器件238、光束分裂器234及諸如此類)之一入射光瞳。
在另一態樣中,精密測定工具224之精密測定光學器件226可包含一物鏡透鏡228。物鏡透鏡228可有助於將光沿精密測定工具224之物件路徑(object path)235引導至安置於樣本台232上之晶圓230之表面。舉例而言,光束分裂器234可將經由入射光瞳222進入精密測定光學器件226之光束221之一部分沿物件路徑235引導。在藉由光束分裂器234進行之分裂製程之後,物鏡透鏡228可將來自物件路徑235之光聚焦至晶圓230之一或多個疊對目標229上。在一般意義上,此項技術中所習知之任一物鏡透鏡可適合於實施為本發明之精密測定工具224之物鏡透鏡228。
此外,可藉由晶圓230之一或多個疊對目標229來散射照射於晶圓230之表面上之光之一部分,且經由物鏡228、光束分裂器234及成像光學器件238將其沿光學軸朝向偵測器240引導。應進一步認識到,可將諸如中間透鏡、額外光束分裂器(例如,經組態以將光之一部分分裂至一聚焦系統之一光束分裂器)及額外成像透鏡之中間光學器件裝置置於透鏡228與偵測器240之成像平面之間。
在另一態樣中,精密測定工具224之偵測器240可沿精密測定工具224之一光學軸安置,該光學軸係至少實質上垂直於晶圓230之表面配置。就此而言,偵測器240可經配置以自晶圓230之表面收集成像資料(imagery data)。舉例而言,在一般意義上,在自晶圓230之至少一或多個目標229散射之後,光可經由透鏡228、光束分裂器234及成像 透鏡238沿該光學軸行進至偵測器240之影像平面。認識到,此項技術中所習知之任一偵測器系統適合於本發明中之實施方案。舉例而言,偵測器240可包含一以電荷耦合裝置(CCD)為基礎之相機系統。藉由另一實例之方式,偵測器240可包含一以時間延遲積分(TDI)-CCD為基礎之相機系統。
雖然以上說明將偵測器240闡述為沿垂直於晶圓230之表面定向之一光學軸而定位,但此特性不應解釋為一要求。本文中預期,偵測器240可沿系統200之一額外光學軸定位。舉例而言,在一般意義上,可利用一或多個額外光束分裂器來將自晶圓230之表面反射且沿物件路徑235行進之光之一部分轉向至一額外光學軸上。偵測器240可經配置以使得沿該額外光學軸行進之光照射偵測器240之影像平面。
本文中預期,本發明之系統200及400可由(但非必需由)調適或重新組態目前現有光學精密測定系統組成。舉例而言,本發明可由調適KLA-Tencor Archer 100或200疊對控制系統組成。舉例而言,在系統200之情形下,一SLM 206、光束分裂器204、孔徑光闌218及傅立葉透鏡218及219可經配置以處理自一照明源202發出之光。此外,可將先前存在之精密測定系統(例如,KLA-Tencor Archer 100或200)之照明源移除並替換為經組態以接收由本文中所闡述之組件處理之照明221之一入射光瞳222。應認識到,本發明並不限於對一Archer 100或200系統之一調適,而是以上說明應僅解釋為一圖解說明。應瞭解,本發明可擴展至各種各樣之顯微鏡及疊對精密測定系統。
在本發明之另一態樣中,如圖2A至2C中所示,系統200可包含一或多個計算系統242,該一或多個計算系統242以通信方式耦合至精密測定工具224之偵測器240且經組態以利用由偵測器240之輸出提供之照明收集資料來判定一或多個疊對特性(例如,疊對誤差)。如此,一或多個計算系統242可經組態以接收由精密測定工具224在對一批中之 一或多個晶圓230之一取樣過程中所執行之一組量測。就此而言,數位化成像資料可經由諸如一有線信號(例如,銅線、光纖電纜及諸如此類)或一無線信號(例如,無線RF信號)之一信號自偵測器240傳輸至一或多個計算系統242。在接收來自精密測定工具224之一或多個取樣過程之結果時,一或多個電腦系統242可然後經由一經程式化之疊對判定演算法(經儲存作為儲存於載體媒體244上之程式指令246之一部分)計算與經取樣疊對目標相關聯之一或多個疊對值。
在圖2C中所示之一替選實施例中,一或多個計算系統242可以通信方式耦合至精密測定系統224之偵測器240及SLM 206兩者。在一項態樣中,一或多個計算系統242可經組態以自偵測器240接收晶圓230之經取樣疊對目標229之複數個視場影像。在一進一步態樣中,視場影像中之每一者可以一不同照明光瞳函數獲取,其中照明光瞳函數中之每一者係利用SLM 206來確立,如本文中先前所闡述。在另一態樣中,一或多個計算系統242可利用自偵測器240所接收之照明收集資料連通一或多個對比度量來計算針對所獲取之視場影像中之每一者之一對比位準。使用對比度量來計算疊對目標之影像中之對比大體闡述於Seligson等人之「Target Noise in Overlay Metrology」(關於顯微蝕刻術XVIII之精密測定、檢測及製程控制,由Richard M.Silver編輯,SPIE之會議錄第5375卷(2004))中,該文章以引用方式併入本文中。在一額外態樣中,一或多個計算系統242可利用針對所獲取之視場影像中之每一者之所計算對比位準來判定適合於產生一所期望對比位準(例如,超過一選定對比臨限值的一對比位準或系統/目標之一最佳對比位準)之一照明函數。回應於適合照明函數之此判定,一或多個計算系統242可控制SLM 206以便確立產生適合照明函數藉此產生處於或超過所期望對比位準的一視場影像對比位準之一SLM 206組態。
在一進一步實施例中,精密測定工具224可經組態以自系統200 之一或多個計算系統242接受指令。在自計算系統242接收指令時,精密測定工具242可執行一或多次疊對精密測定量測。就此而言,在藉由控制SLM 206以確立一選定照明函數來達成所期望對比位準時,一或多個計算系統242可命令精密測定工具242實施一或多次精密測定量測。在一進一步實施例中,精密測定工具242可在由一或多個計算系統242提供之指令中所識別晶圓230之各種位置處執行疊對精密測定。
應認識到,本發明通篇所闡述之各種步驟可藉由一單電腦系統或(另一選擇為)一多電腦系統來實施。此外,系統200之不同子系統(諸如精密測定工具224)可包含適合於實施以上所闡述之步驟之至少一部分之一電腦系統。因此,以上說明不應解釋為對本發明之一限制而僅係一圖解說明。此外,一或多個計算系統242可經組態以執行本文中所闡述之方法實施例中之任一者之任何其他步驟。
在另一實施例中,一或多個計算系統242可以此項技術中所習知之任一方式以通信方式耦合至精密測定工具224之偵測器240及/或SLM 206。舉例而言,一或多個計算系統242可耦合至精密測定工具224之一電腦系統或耦合至一可程式化SLM 206之一電腦系統。在另一實例中,精密測定工具224及SLM 206可由一單電腦系統控制。此外,系統200之一或多個計算系統242可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自其他系統接收及/或獲取資料或資訊(例如,來自一檢測系統之檢測結果,來自一額外精密測定系統之精密測定結果或自諸如KLA-Tencors KT分析器之一系統計算之處理工具可校正值)。以此方式,傳輸媒體可用作一或多個計算系統242與系統200之其他子系統之間的一資料連結。此外,一或多個計算系統242可經由一傳輸媒體來將資料發送至外部系統。
一或多個計算系統242可包含(但不限於)一個人電腦系統、主機電腦系統、工作站、影像電腦、平行處理器或此項技術中所習知之任 一其他裝置。一般而言,術語「計算系統」可經廣泛定義以囊括具有一或多個執行來自一記憶體媒體之指令之處理器之任一裝置。
可將實施諸如本文中所闡述之彼等方法之方法的程式指令246在載體媒體244上傳輸或儲存於載體媒體244上。載體媒體可係一傳輸媒體,諸如一線、纜線或無線傳輸鏈路。載體媒體亦可包含諸如一唯讀記憶體、一隨機存取記憶體、一磁碟或光碟或一磁帶之一永久儲存媒體。
現在參考圖4,展示本發明之一較佳實施例。申請人注意到,除非另外注明,應解釋關於圖2A至2C之系統200之說明以應用於圖4之系統400。在一項態樣中,系統400包含:一雷射光源402,其經組態以產生一或多個雷射光束;一積分柱410,其光學耦合至雷射光源402;及一微反射鏡陣列(MMA)晶片406。在一進一步態樣中,系統400包含一光束分裂器414,該光束分裂器414經組態以自積分柱410接收照明且將該照明朝向MMA晶片406引導。在本文中注意,以上所闡述之組件可用於形成一微反射鏡成像裝置404。
在一進一步實施例中,微反射鏡成像裝置404可進一步包含一導向反射鏡(steering mirror)412以便輔助光學耦合積分柱410之輸出及MMA晶片。另外,微反射鏡成像裝置404可進一步包含一組紋影光學器件416,該組紋影光學器件416定位於光束分裂器414與MMA晶片406之間且經組態以處理自雷射源402發射之雷射照明且使其穿過光束分裂器414。此外,微成像裝置404可包含一出射透鏡418。
在另一態樣中,系統400包含一精密測定工具408。如在系統200中,系統400之精密測定工具408包含(但不限於)一偵測器434、一物鏡426、一光束分裂器424及成像光學器件430。在一項態樣中,微反射鏡裝置404及精密測定工具408係經由穿過精密測定工具408之精密測定光學器件之入射光瞳422之光束423光耦合。在一進一步態樣中, 精密測定工具408包含沿精密測定工具408之一成像路徑定位之一可移除反射鏡432。在本文中注意,可移除透鏡432可經實施以便使偵測器434在將一或多個樣本428成像與一物鏡426之一光瞳之間交替。在本文中進一步注意,MMA晶片經成像至物鏡426。如此,使物鏡428成像等效於使MMA晶片406成像。
圖2A至2C、圖3A至3C及圖4中所圖解說明之系統200及400之實施例可如本文中所闡述進一步經組態。另外,系統200可經組態以執行本文中所闡述之方法實施例中之任一者之任何其他步驟。
圖5圖解說明適合於由本發明之系統200或400實施之一處理流程500。在一項態樣中,認識到,處理流程500之資料處理步驟可經由一或多個計算系統242之一或多個處理器執行之一經預程式化演算法實施。步驟502產生一照明光束。舉例而言,一或多個雷射源可產生一雷射光束。步驟504將所產生光束之一部分引導至一空間光調變器(SLM)之一表面上。舉例而言,系統200之光束分裂器204可用於將照明光束210之至少一部分朝向SLM(例如,以繞射為基礎之SLM)引導。步驟506將入射於SLM之表面上之所產生光束之至少一部分引導穿過一孔徑光闌之一孔隙且引導至一或多個樣本之一或多個目標結構上。舉例而言,系統200之SLM 206可將入射於SLM之表面上之照明光束之至少一部分引導穿過孔隙119且引導至晶圓230之一或多個目標229上。步驟508利用該SLM來產生傳輸穿過該孔隙之照明之一選定照明光瞳函數,以便確立一或多個目標結構之一或多個視場影像之超過一選定對比臨限值的一對比位準。就此而言,SLM 206及精密測定工具242(兩者皆由計算系統242控制)可以一回饋模式操作,以便達成適合於產生使用精密測定工具242所獲取之一或多個視場影像之超過一選定對比位準的一對比位準一照明光瞳函數。另一選擇為,可利用SLM 206及精密測定工具242回饋模式來達成使用精密測定工具242獲 取之一或多個視場影像之一最佳或幾乎最佳對比位準。步驟510利用選定照明光瞳函數來對一或多個目標結構(例如,目標229)執行一或多次精密測定量測。舉例而言,精密測定工具224可由一或多個計算系統242控制以使得在達成一最佳或幾乎最佳對比位準(經由選定照明光瞳函數)時,計算系統242可命令精密測定工具224執行疊對精密測定量測。
在方法500之一進一步實施例中,可以一第一照明函數量測一第一目標結構,而以不同於第一照明函數之一第二照明函數量測一第二目標結構。以此方式,可利用SLM來使第一照明函數匹配於第一目標結構且利用SLM來使第二照明函數匹配於第二目標結構。應認識到,此實施例可容易擴展至眾多目標結構且不應解釋為僅如以上所闡述之兩個目標結構。以此方式,可依序或「即時」量測一晶圓上之多個目標位置,其中利用一不同照明光瞳函數來量測每一目標位置,從而允許每一目標之對比最佳化。
在一進一步實施例中,方法500可包含獲取一目標結構之一底部層之一第一視場影像,第一影像係以相對於一背景對比位準之一高對比位準獲取;獲取該目標結構之一頂部層之一第二視場影像,該第二影像係以超過一選定對比臨限值的一對比位準獲取;及藉由利用一雙抓取(double grab)演算法組合第一視場影像與第二視場影像來產生目標結構之一精密測定量測影像。以此方式,系統200(或系統300)可採用一「雙抓取」序列。雙抓取序列可包含獲取一單個疊對目標之兩個影像,藉此一雙抓取成像演算法利用自該兩個影像獲得之資訊來提供具有一增加對比位準之一組合影像。舉例而言,系統200可獲取一目標之兩個視場影像,底部層之一第一影像與背景相比具有非常高之對比且頂部層之一第二影像使其對比經最佳化或幾乎最佳化。系統200(經由計算系統242)可然後利用習知之雙抓取演算法數位組合此等 影像,以便達成針對兩個層之具有非常高之對比之一最終影像。
圖6圖解說明適合於由本發明之系統200或400實施之一替選處理流程600。步驟602產生一照明光束。步驟604將所產生光束之一部分引導至一空間光調變器之一表面上。步驟606將入射於該空間光調變器之該表面上之該所產生光束之至少一部分引導穿過一孔徑光闌之一孔隙且引導至一或多個樣本之一或多個目標結構上。步驟602、604及606在性質上類似於方法500之步驟502、504及506。步驟608利用一偵測器(例如,一精密測定工具之CCD相機)來獲取一或多個樣本之一或多個目標結構(例如,目標229)之複數個視場影像,每一視場影像係以一不同預定照明光瞳函數獲取,其中該等不同照明光瞳函數中之每一者係利用空間光調變器(例如,SLM 206)達成。舉例而言,精密測定工具224之一相機240可擷取一或多個目標229之多個視場影像,每一視場影像係以一不同照明光瞳函數(亦即,照明光瞳組態)擷取,不同照明光瞳函數係使用SLM 206(例如,繞射SLM)確立。步驟610藉由判定針對一或多個目標結構之複數個視場影像中之每一者之一對比位準來計算複數個對比位準。舉例而言,計算系統242可自相機240接收以不同照明光瞳函數獲得之視場影像。在自偵測器240接收數位化成像資料時,計算系統242可然後利用一或多個對比度量(如本文中先前所闡述)來計算針對所獲取視場影像中之每一者之一對比位準。步驟612識別一量測照明光瞳函數,其中該量測照明光瞳函數對應於複數個對比位準中之最大者。舉例而言,計算系統242可然後分析並比較與目標229之多個所獲取視場影像相關聯之經計算對比位準組以及識別對應於在所獲取視場影像當中產生最高對比之照明光瞳函數之「量測照明光瞳函數」。步驟614利用所識別量測照明光瞳函數來執行一或多次精密測定量測。步驟614係類似於方法500之步驟510。
在方法600之一項實施例中,可在複數個焦點平面上獲取複數個 視場影像。在另一實施例中,可在照明光束之一或多個光譜參數(例如,波長)之範圍內獲取複數個視場影像。在另一實施例中,可在一或多個樣本(例如,晶圓230)之一不同目標結構位置(例如,目標229位置)處獲取複數個視場影像中之每一者。在另一實施例中,可藉由依據晶圓位置或視場位置修改SLM之一組態來達成量測照明光瞳函數。
應進一步認識到,相對於處理流程500所闡述之限制及實施例應解釋為擴展至處理流程600且反之亦然。如此,以上對處理流程500及600之說明不應視為解釋為一限制而僅為圖解說明。
本文中所闡述之所有系統及方法可包含將方法實施例之一或多個步驟之結果儲存於一儲存媒體中。結果可包含本文中所闡述之結果中之任一者且可以此項技術中所習知之任一方式儲存。儲存媒體可包含本文中所闡述之任一儲存媒體或此項技術中所習知之任一其他適合儲存媒體。在已儲存結果之後,該等結果可在該儲存媒體中存取且由本文中所闡述之方法或系統實施例中之任一者使用,經格式化以用於向一使用者顯示,由另一軟體模組、方法或系統等使用。此外,可「永久性地」、「半永久性地」、臨時性地或在某一時間週期內儲存結果。舉例而言,儲存媒體可係隨機存取記憶體(RAM),且結果可不必無限期地存留於該儲存媒體中。
熟習此項技術者將瞭解,存在本文中所闡述之方法及/或系統及/或其他技術可受其影響之各種載具(例如,硬體、軟體及/或韌體),且較佳載具將隨其中部署方法及/或系統及/或其他技術之上下文而變化。舉例而言,若一實施者判定速度及準確度係最重要的,則該實施者可選擇一主要硬體及/或韌體載具;另一選擇為,若靈活性係最重要的,則該實施者可選擇一主要軟體實施方案;或者,又一另一選擇為,該實施者可選擇硬體、軟體及/或韌體之某一組合。因此,存在本文中所闡述之方法及/或裝置及/或其他技術可受其影響之數種可能 載具,其中無一者係天生優於另一者,此乃因欲利用之任一載具係依據於其中將部署該載具之上下文及實施者之具體關注問題(例如,速度、靈活性或可預測性)(其中任一者可變化)之一選擇。熟習此項技術者將認識到實施方案之光學態樣將通常採用經光學定向之硬體、軟體及/或韌體。
熟習此項技術者將認識到在此項技術中以本文闡明之方式闡述裝置及/或方法,且此後使用工程實踐將此等所闡述裝置及/或方法整合至資料處理系統中係常見的。亦即,本文中所闡述之裝置及/或方法之至少一部分可經由一合理量之實驗而被整合至一資料處理系統中。熟習此項技術者將認識到一典型資料處理系統通常包含以下裝置中之一或多者:一系統單元外殼、一視訊顯示裝置、諸如揮發性及非揮發性記憶體之一記憶體、諸如微處理器及數位信號處理器之處理器、諸如作業系統、驅動器、圖形使用者介面及應用程式之計算實體、諸如一觸摸板或螢幕之一或多個互動裝置,及/或包含回饋迴路及控制馬達(例如,用於感測位置及/或速率之回饋;用於移動及/或調整組件及/或數量之控制馬達)之控制系統。可利用任一適合市場上可購得組件(諸如通常發現於資料計算/通信及/或網路計算/通信系統中之彼等組件)來實施一典型資料處理系統。
本文所闡述之標的物有時圖解說明含於不同其他組件中之不同組件或與不同其他組件連接之不同組件。應理解,此等所繪示架構僅係例示性,且實際上可實施達成相同功能性之諸多其他架構。在一概念意義上,達成相同功能性之任一組件配置係有效地「相關聯」以使得達成所期望之功能性。因此,可將本文中經組合以達成一特定功能性之任何兩個組件視為彼此「相關聯」以使得達成所期望之功能性,而無論架構或中間組件如何。同樣,如此相關聯之任何兩個組件亦可視為彼此「連接」或「耦合」以達成所期望之功能性,且能夠如此相 關聯之任何兩個組件亦可視為彼此「可耦合」以達成所期望之功能性。可耦合之特定實例包含(但不限於)可實體配合及/或實體互動之組件及/或可以無線方式互動及/或以無線方式互動之組件及/或以邏輯方式互動及/或可以邏輯方式互動之組件。
雖然已展示並闡述了本文中所闡述之本標的物之特定態樣,但熟習此項技術者將基於本文之教示內容明瞭:可在不背離本文中所闡述之標的物及其更廣泛之態樣之情況下作出改變及修改,且因此,隨附申請專利範圍欲將所有此等改變及修改囊括於其範疇內,如同此等改變及修改歸屬於本文中所闡述之標的物之真正精神及範疇內一般。
儘管已圖解說明本發明之特定實施例,但應明瞭,熟習此項技術者可在不背離前述揭示內容之範疇及精神之情況下作出本發明之各種修改及實施例。因此,本發明之範疇應僅受隨附申請專利範圍限制。
據信,藉由前述說明將理解本發明及諸多其隨附優點,且將明瞭可在不背離所揭示標的物或不犧牲所有其材料優點之情況下在組件之形式、構造及配置方面作出各種改變。所闡述形式僅係解釋性,且以下申請專利範圍之意圖係囊括並包含此等改變。
此外,應理解,本發明僅由隨附申請專利範圍來定義。
400‧‧‧系統
402‧‧‧雷射光源
404‧‧‧微反射鏡成像裝置
406‧‧‧微反射鏡陣列晶片
408‧‧‧精密測定工具
410‧‧‧積分柱
412‧‧‧導向反射鏡
414‧‧‧光束分裂器
416‧‧‧紋影光學器件
418‧‧‧出射透鏡
422‧‧‧入射光瞳
423‧‧‧光束
424‧‧‧光束分裂器
426‧‧‧物鏡
428‧‧‧樣本
430‧‧‧成像光學器件
432‧‧‧可移除反射鏡
434‧‧‧偵測器

Claims (28)

  1. 一種設備,其包括:一照明源;一空間光調變器(SLM);一光束分裂器,其經組態以將自該照明源發出之光之一部分沿一照明路徑引導至該空間光調變器(SLM)之一表面;一孔徑光闌,其實質上安置於該照明路徑之一光瞳平面處,該孔徑光闌具有一孔隙,該孔隙經組態以將自該SLM之該表面引導之光之至少一部分傳輸至一或多個試樣之一表面;及一精密測定工具,其經組態以量測該一或多個試樣之一或多個精密測定目標結構之一或多個特性,該精密測定工具包括:一入射光瞳,其經組態以接收自該SLM之該表面引導且傳輸穿過該孔徑光闌之該孔隙之照明;一光束分裂器,其經組態以接收透過該精密測定工具之該入射光瞳所接收之照明,該光束分裂器進一步經組態以將通過該入射光瞳之該照明之至少一部分引導至一或多個樣本;一物鏡透鏡,其經組態以將該照明之該至少一部分聚焦至該一或多個樣本之一或多個目標結構上;及一偵測器,其經組態以收集自該一或多個樣本之該一或多個目標結構散射之照明之一部分,其中該SLM經組態以控制傳輸穿過該孔隙之該照明之一照明光瞳函數,該照明光瞳函數係藉由控制自該照明源照射於該SLM之該表面上之照明之一輪廓來控制。
  2. 如請求項1之設備,其進一步包括:一計算系統,其以通信方式耦合至該精密測定工具之該偵測 器且經組態以利用來自該偵測器之所收集照明資料來判定一或多個疊對特性。
  3. 如請求項1之設備,其進一步包括:一計算系統,其以通信方式耦合至該SLM及該精密測定工具之該偵測器,該計算系統經組態以:自該偵測器接收該一或多個目標結構之複數個視場影像,該等視場影像中之每一者係以一不同照明光瞳函數獲取;利用來自該偵測器之照明收集資料及一或多個對比度量來計算針對該一或多個目標結構之該複數個視場影像中之每一者之一對比位準;利用針對該複數個視場影像中之每一者之該等所計算對比位準來判定適合於產生超過一選定對比臨限值的一對比位準之一照明函數;及回應於該所判定照明光瞳函數而控制該SLM,以便確立適合於產生超過該選定對比臨限值的一對比位準之一照明光瞳函數。
  4. 如請求項3之設備,其中該精密測定工具經組態以利用該所判定照明光瞳函數來執行一或多次精密測定量測。
  5. 如請求項1之設備,其中該空間光調變器包括:一以繞射為基礎之空間光調變器。
  6. 如請求項1之設備,其中該空間光調變器包括:一以反射為基礎之空間光調變器。
  7. 如請求項1之設備,其中該空間光調變器包括:一微機電系統(MEMS)空間光調變器。
  8. 如請求項1之設備,其中該空間光調變器包括:一液晶空間光調變器。
  9. 如請求項1之設備,其中該照明源包括:一或多個雷射。
  10. 如請求項1之設備,其中該一或多個試樣包括:一或多個半導體晶圓。
  11. 如請求項1之設備,其中該一或多個目標結構包括:一或多個箱中箱(BiB)目標或者一或多個先進成像精密測定(AIM)目標中之至少一者。
  12. 如請求項1之設備,其中該偵測器包括:一電荷耦合裝置(CCD)相機或一時間延遲積分(TDI)相機中之至少一者。
  13. 一種設備,其包括:一雷射光源,其經組態以產生一或多個雷射光束;一積分柱,其光學耦合至該雷射源之一輸出;一微反射鏡陣列(MMA)晶片;一光束分裂器,其經組態以將自該積分柱發出之光之一部分沿一光學路徑朝向該MMA晶片之一表面引導;一組光學中繼光學器件,其經組態以自該光束分裂器接收所引導之光之該部分並將光之該部分中繼至該MMA晶片;一精密測定工具,其經組態以量測一或多個樣本之一或多個精密測定目標結構之一或多個特性,該精密測定工具包括:一入射光瞳,其經組態以接收自該MMA晶片之該表面引導之照明;一光束分裂器,其經組態以接收透過該精密測定工具之該入射光瞳所接收之照明,該光束分裂器進一步經組態以將通過該入射光瞳之該照明之至少一部分引導至該一或多個樣本; 一物鏡透鏡,其經組態以將該照明之該至少一部分聚焦至該一或多個樣本之一或多個目標結構上;及一偵測器,其經組態以收集自該一或多個樣本之該一或多個目標結構散射之照明之一部分,一可移除透鏡,其沿該精密測定工具之一成像路徑定位且經組態以使該偵測器在將該一或多個樣本成像與該物鏡之一光瞳之間交替,該MMA晶片係成像至該物鏡之該光瞳,其中該MMA晶片經組態以控制自該雷射源照射於該MMA晶片之該表面上之照明之一輪廓。
  14. 如請求項13之設備,其中該組光學中繼光學器件包括:一組紋影光學器件。
  15. 如請求項13之設備,其進一步包括:一計算系統,其以通信方式耦合至該MMA晶片及該精密測定工具之該偵測器,該計算系統經組態以:自該偵測器接收該一或多個目標結構之複數個視場影像,該等視場影像中之每一者係以一不同照明光瞳函數獲取;利用來自該偵測器之照明收集資料及一或多個對比度量來計算針對該一或多個目標結構之該複數個視場影像中之每一者之一對比位準;利用該複數個視場影像之該等所計算對比位準來判定適合於產生超過一選定對比臨限值的一對比位準之一照明函數;及回應於該所判定照明光瞳函數而控制該MMA晶片,以便達成適合於產生超過該選定對比臨限值的一對比位準之一照明光瞳函數。
  16. 如請求項15之設備,其中該精密測定工具經組態以利用該所判 定照明光瞳函數來執行一或多次精密測定量測。
  17. 如請求項13之設備,其中該一或多個樣本包括:一或多個半導體晶圓。
  18. 如請求項13之設備,其中該偵測器包括:一電荷耦合裝置(CCD)相機或一時間延遲積分(TDI)相機中之至少一者。
  19. 一種方法,其包括:產生一照明光束;將該所產生光束之一部分引導至一空間光調變器(SLM)之一表面上;將入射於該SLM之該表面上之該所產生光束之至少一部分引導穿過一孔徑光闌之一孔隙且引導至一或多個樣本之一或多個目標結構上;及利用該SLM來產生傳輸穿過該孔隙之該照明之一或多個選定照明光瞳函數;及利用該選定照明光瞳函數來對該一或多個目標結構執行一或多次精密測定量測。
  20. 如請求項19之方法,其中該空間光調變器包括:一以繞射為基礎之空間光調變器。
  21. 如請求項19之方法,其中以一第一照明函數量測一第一目標結構且以不同於該第一照明函數之一第二照明函數量測至少一第二目標結構,其中利用該SLM來使該第一照明函數匹配於該第一目標結構且利用該SLM來使該第二照明函數匹配於該至少一第二目標結構。
  22. 如請求項21之方法,其中利用該選定照明光瞳函數來對該一或多個目標結構執行一或多次精密測定量測包括: 獲取一目標之一底部層之一第一視場影像,該第一影像係以相對於一背景對比位準之一高對比位準獲取;獲取該目標之一頂部層之一第二視場影像,該第二影像係以超過一選定對比臨限值的一對比位準獲取;及組合該第一視場影像與該第二視場影像。
  23. 一種方法,其包括:產生一照明光束;將該所產生光束之一部分引導至一空間光調變器之一表面上;將入射於該空間光調變器之該表面上之該所產生光束之至少一部分引導穿過一孔徑光闌之一孔隙且引導至一或多個樣本之一或多個目標結構上;及利用一精密測定工具之一偵測器來獲取一或多個樣本之該一或多個目標結構之複數個視場影像,每一視場影像係以一不同照明光瞳函數獲取,其中該等不同照明光瞳函數中之每一者係利用該空間光調變器達成;基於以不同照明光瞳函數所獲取之該複數個視場影像來識別一量測照明光瞳函數;及利用該經識別量測照明光瞳函數來執行一或多次精密測定量測。
  24. 如請求項23之方法,其中該空間光調變器包括:一以繞射為基礎之空間光調變器。
  25. 如請求項23之方法,其中獲取該一或多個目標結構之複數個視場影像包括:在複數個焦點平面上獲取該一或多個目標結構之複數個視場影像。
  26. 如請求項23之方法,其中獲取該一或多個目標結構之複數個視場影像包括:在該照明光束之一或多個光譜參數之一範圍內獲取該一或多個目標結構之複數個視場影像。
  27. 如請求項23之方法,其中獲取該一或多個目標結構之複數個視場影像包括:在一或多個試樣之一或多個目標結構位置處獲取該一或多個目標結構之複數個視場影像。
  28. 如請求項23之方法,其中該量測照明光瞳函數係藉由依據晶圓位置或視場位置中之至少一者修改該SLM之一組態來達成。
TW104111154A 2011-02-10 2012-02-10 在疊對精密測定中用於對比增強的結構照明 TWI620994B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201161441540P 2011-02-10 2011-02-10
US201161441553P 2011-02-10 2011-02-10
US61/441,553 2011-02-10
US61/441,540 2011-02-10
??PCT/US12/24320 2012-02-08
PCT/US2012/024320 WO2012109348A1 (en) 2011-02-10 2012-02-08 Structured illumination for contrast enhancement in overlay metrology

Publications (2)

Publication Number Publication Date
TW201530267A true TW201530267A (zh) 2015-08-01
TWI620994B TWI620994B (zh) 2018-04-11

Family

ID=46638944

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104111154A TWI620994B (zh) 2011-02-10 2012-02-10 在疊對精密測定中用於對比增強的結構照明
TW101104452A TWI536118B (zh) 2011-02-10 2012-02-10 在疊對精密測定中用於對比增強的結構照明

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW101104452A TWI536118B (zh) 2011-02-10 2012-02-10 在疊對精密測定中用於對比增強的結構照明

Country Status (4)

Country Link
US (3) US9104120B2 (zh)
KR (3) KR102068950B1 (zh)
TW (2) TWI620994B (zh)
WO (1) WO2012109348A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI752604B (zh) * 2019-09-10 2022-01-11 荷蘭商Asml荷蘭公司 微影製程之子場控制及其相關設備

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102068950B1 (ko) * 2011-02-10 2020-01-21 케이엘에이 코포레이션 오버레이 계측의 콘트라스트 증강을 위한 구조화 조명
US9989412B2 (en) * 2011-10-25 2018-06-05 Daylight Solutions, Inc. Low-noise spectroscopic imaging system
US10365158B2 (en) * 2011-10-25 2019-07-30 Daylight Solutions, Inc. Low-noise spectroscopic imaging system
FR2994263B1 (fr) * 2012-08-02 2018-09-07 Vit Procede et dispositif d'identification de materiaux dans une scene
US9182219B1 (en) * 2013-01-21 2015-11-10 Kla-Tencor Corporation Overlay measurement based on moire effect between structured illumination and overlay target
US9885961B1 (en) * 2013-05-29 2018-02-06 Kla-Tencor Corporation Partly disappearing targets
US11629951B2 (en) * 2013-07-09 2023-04-18 Auburn University Determining geometric characteristics of reflective surfaces and transparent materials
US9189705B2 (en) 2013-08-08 2015-11-17 JSMSW Technology LLC Phase-controlled model-based overlay measurement systems and methods
US10401279B2 (en) 2013-10-29 2019-09-03 Kla-Tencor Corporation Process-induced distortion prediction and feedforward and feedback correction of overlay errors
US11803044B2 (en) 2014-01-18 2023-10-31 Daylight Solutions, Inc. Low-noise spectroscopic imaging system with steerable substantially coherent illumination
NL2015160A (en) 2014-07-28 2016-07-07 Asml Netherlands Bv Illumination system, inspection apparatus including such an illumination system, inspection method and manufacturing method.
WO2016022276A1 (en) * 2014-08-06 2016-02-11 Beckman Coulter, Inc. Evaluation of multi-peak events using a flow cytometer
WO2016045945A1 (en) * 2014-09-26 2016-03-31 Asml Netherlands B.V. Inspection apparatus and device manufacturing method
CN105807573B (zh) * 2014-12-31 2017-12-29 上海微电子装备(集团)股份有限公司 用于套刻误差检测的装置和方法
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
WO2016187468A1 (en) * 2015-05-19 2016-11-24 Kla-Tencor Corporation Topographic phase control for overlay measurement
US10345721B1 (en) 2015-06-16 2019-07-09 Kla-Tencor Corporation Measurement library optimization in semiconductor metrology
US20170026784A1 (en) * 2015-07-24 2017-01-26 Qualcomm Incorporated Mapping multiple antenna systems using crowdsourcing data
WO2017097532A1 (en) 2015-12-09 2017-06-15 Asml Holding N.V. A flexible illuminator
CN113376973A (zh) 2015-12-17 2021-09-10 Asml荷兰有限公司 量测设备的调节或基于已测量目标的特性而由量测设备进行的测量
CN107340689B (zh) * 2016-02-29 2019-10-25 上海微电子装备(集团)股份有限公司 一种测量套刻误差的装置和方法
CN108700834B (zh) 2016-03-07 2021-03-09 Asml荷兰有限公司 照射系统和量测系统
US9754895B1 (en) * 2016-03-07 2017-09-05 Micron Technology, Inc. Methods of forming semiconductor devices including determining misregistration between semiconductor levels and related apparatuses
CN107329379B (zh) * 2016-04-29 2019-01-18 上海微电子装备(集团)股份有限公司 双层对准装置和双层对准方法
CN109416514B (zh) * 2016-06-30 2020-12-04 Asml控股股份有限公司 用于套刻中光瞳照射的方法和装置以及临界尺寸传感器
CN106200276B (zh) * 2016-07-19 2017-10-24 西安电子科技大学 基于随机散射介质的可控亚波长无掩模光刻系统和方法
KR20220141930A (ko) 2016-09-15 2022-10-20 케이엘에이 코포레이션 이미징 기반 오버레이 계측을 위한 포커스 최적화를 위한 시스템 및 방법
US10897566B2 (en) 2016-09-28 2021-01-19 Kla-Tencor Corporation Direct focusing with image binning in metrology tools
CN110249268B (zh) * 2017-02-02 2021-08-24 Asml荷兰有限公司 量测方法和设备以及关联的计算机产品
US10657422B2 (en) 2017-04-20 2020-05-19 The Boeing Company Methods and systems for hyper-spectral systems
CN108801982A (zh) * 2017-04-27 2018-11-13 上海交通大学 一种测量半透明介质各向异性散射特性的方法
EP3404488A1 (en) 2017-05-19 2018-11-21 ASML Netherlands B.V. Method of measuring a target, metrology apparatus, lithographic cell, and target
KR20190052885A (ko) * 2017-11-09 2019-05-17 삼성전자주식회사 다중층 구조 검사 장치와 방법, 및 그 방법을 구비한 반도체 소자 제조방법
US11933717B2 (en) 2019-09-27 2024-03-19 Kla Corporation Sensitive optical metrology in scanning and static modes
US11784077B2 (en) 2019-12-18 2023-10-10 Micron Technology, Inc. Wafer overlay marks, overlay measurement systems, and related methods
US11719533B2 (en) * 2021-03-28 2023-08-08 Kla Corporation Modulation of scanning velocity during overlay metrology
KR102524462B1 (ko) * 2022-03-28 2023-04-21 (주)오로스 테크놀로지 오버레이 측정장치

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
GB2313920A (en) 1996-06-07 1997-12-10 Sharp Kk Diffractive spatial light modulator and display
US6172349B1 (en) 1997-03-31 2001-01-09 Kla-Tencor Corporation Autofocusing apparatus and method for high resolution microscope system
EP1279282B1 (en) * 2000-04-18 2015-06-10 Imax Corporation Methods and systems for low loss separation and combination of light
WO2002027410A1 (en) * 2000-09-28 2002-04-04 Infineon Technologies North America Corp. Contrast enhancement for lithography alignment mark recognition
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
WO2004023071A1 (en) * 2002-09-09 2004-03-18 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7339148B2 (en) * 2002-12-16 2008-03-04 Olympus America Inc. Confocal microscope
US7064880B2 (en) * 2003-09-25 2006-06-20 Matsushita Electric Industrial Co., Ltd. Projector and projection method
US7153616B2 (en) * 2004-03-31 2006-12-26 Asml Holding N.V. System and method for verifying and controlling the performance of a maskless lithography tool
US20090141325A1 (en) * 2005-03-07 2009-06-04 Makoto Sato Hologram Device and Recording Method
US7528941B2 (en) 2006-06-01 2009-05-05 Kla-Tencor Technolgies Corporation Order selected overlay metrology
US7978337B2 (en) 2007-11-13 2011-07-12 Zygo Corporation Interferometer utilizing polarization scanning
JP4569693B2 (ja) * 2008-09-09 2010-10-27 ソニー株式会社 再生装置、再生方法
JP2010091957A (ja) * 2008-10-10 2010-04-22 Sony Corp 記録再生装置、偏光方向制御方法
JP2010164832A (ja) * 2009-01-16 2010-07-29 Sony Corp 光照射装置、光照射方法
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
KR102068950B1 (ko) * 2011-02-10 2020-01-21 케이엘에이 코포레이션 오버레이 계측의 콘트라스트 증강을 위한 구조화 조명

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI752604B (zh) * 2019-09-10 2022-01-11 荷蘭商Asml荷蘭公司 微影製程之子場控制及其相關設備

Also Published As

Publication number Publication date
US20120206729A1 (en) 2012-08-16
US10274425B2 (en) 2019-04-30
KR102175027B1 (ko) 2020-11-06
KR20200000474A (ko) 2020-01-02
KR20140006946A (ko) 2014-01-16
TWI620994B (zh) 2018-04-11
US20170307523A1 (en) 2017-10-26
KR102068950B1 (ko) 2020-01-21
KR20190049936A (ko) 2019-05-09
WO2012109348A1 (en) 2012-08-16
TWI536118B (zh) 2016-06-01
US20160003735A1 (en) 2016-01-07
TW201245896A (en) 2012-11-16
US9645079B2 (en) 2017-05-09
KR101976152B1 (ko) 2019-05-09
US9104120B2 (en) 2015-08-11

Similar Documents

Publication Publication Date Title
TWI536118B (zh) 在疊對精密測定中用於對比增強的結構照明
KR102347059B1 (ko) 다수의 파라미터 구성들을 사용한 오버레이 계측
KR101982363B1 (ko) 조명 제어
CN107683400B (zh) 用于测量在半导体晶片上的高度的方法及设备
US10438825B2 (en) Spectral reflectometry for in-situ process monitoring and control
US7957066B2 (en) Split field inspection system using small catadioptric objectives
KR102367236B1 (ko) 레이저 암시야 시스템에서 반점을 억제하는 방법 및 장치
CN113348361B (zh) 用于共址计量的方法及系统
US10739275B2 (en) Simultaneous multi-directional laser wafer inspection
TWI818136B (zh) 用於單一路徑光學晶圓檢測的差分成像
US20140139829A1 (en) Inspection Beam Shaping For Improved Detection Sensitivity
CN106772923B (zh) 基于倾斜狭缝的自动对焦方法和系统
US20050018899A1 (en) Double inspection of reticle or wafer
TWI640764B (zh) 用於使用多個照明波長檢測樣本之系統及方法
JP2024501898A (ja) 走査スキャトロメトリ・オーバーレイ測定
JP2002006226A (ja) 検査装置
KR20230152084A (ko) 단파 적외선 파장을 이용한 광학 계측
CN116819892A (zh) 一种光学量测装置及方法
JP2005188986A (ja) 高さ検査装置