KR20180087153A - 최적화된 저 에너지/고 생산성 디포지션 시스템 - Google Patents

최적화된 저 에너지/고 생산성 디포지션 시스템 Download PDF

Info

Publication number
KR20180087153A
KR20180087153A KR1020180006162A KR20180006162A KR20180087153A KR 20180087153 A KR20180087153 A KR 20180087153A KR 1020180006162 A KR1020180006162 A KR 1020180006162A KR 20180006162 A KR20180006162 A KR 20180006162A KR 20180087153 A KR20180087153 A KR 20180087153A
Authority
KR
South Korea
Prior art keywords
arm
processing
end effector
station
processing station
Prior art date
Application number
KR1020180006162A
Other languages
English (en)
Other versions
KR102533126B1 (ko
Inventor
마이클 노르딘
카를 리저
리처드 블랭크
로버트 스컬락
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20180087153A publication Critical patent/KR20180087153A/ko
Priority to KR1020230061030A priority Critical patent/KR102656329B1/ko
Application granted granted Critical
Publication of KR102533126B1 publication Critical patent/KR102533126B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Abstract

기판 프로세싱 툴을 위한 기계적 인덱서는 제 1 엔드 이펙터 및 제 2 엔드 이펙터를 각각 갖는 제 1 암 및 제 2 암을 포함한다. 제 1 암은 기판 프로세싱 툴의 복수의 프로세싱 스테이션들에서 제 1 암의 제 1 엔드 이펙터를 선택적으로 포지셔닝하고 기판 프로세싱 툴의 복수의 프로세싱 스테이션들에서 제 1 암의 제 2 엔드 이펙터를 선택적으로 포지셔닝하도록 제 1 스핀들을 회전시키도록 구성된다. 제 2 암은 기판 프로세싱 툴의 복수의 프로세싱 스테이션들에서 제 2 암의 제 1 엔드 이펙터를 선택적으로 포지셔닝하고 기판 프로세싱 툴의 복수의 프로세싱 스테이션들에서 제 2 암의 제 2 엔드 이펙터를 선택적으로 포지셔닝하도록 제 2 스핀들을 회전시키도록 구성된다. 제 1 암은 제 2 암과 독립적으로 회전하도록 구성된다.

Description

최적화된 저 에너지/고 생산성 디포지션 시스템{OPTIMIZED LOW ENERGY / HIGH PRODUCTIVITY DEPOSITION SYSTEM}
본 개시는 기판 프로세싱 시스템들의 프로세스 모듈들 내 기판들의 이송에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템은 반도체 웨이퍼들과 같은 기판들의 증착, 에칭 및/또는 다른 처리를 수행하도록 사용될 수도 있다. 프로세싱 동안, 기판은 기판 프로세싱 시스템의 프로세싱 챔버 내의 기판 지지부 상에 배치된다. 하나 이상의 전구체들을 포함하는 가스 혼합물들이 프로세싱 챔버 내로 도입되고 화학 반응들을 활성화하도록 플라즈마가 스트라이킹될 수도 있다. 기판 프로세싱 시스템은 제조 룸 내에 배치된 복수의 기판 프로세싱 툴들을 포함할 수도 있다. 기판 프로세싱 툴들 각각은 복수의 프로세스 모듈들을 포함할 수도 있다.
이제 도 1을 참조하면, 예시적인 기판 프로세싱 툴 (100) 의 평면도가 도시된다. 기판 프로세싱 툴 (100) 은 복수의 프로세스 모듈들 (104) 을 포함한다. 프로세스 모듈들 (104) 각각은 기판 상에서 하나 이상의 각각의 프로세스들을 수행하도록 구성될 수도 있다. 프로세싱될 기판들은 EFEM (equipment front end module) (108) 의 로드 스테이션의 포트들을 통해 기판 프로세싱 툴 (100) 내로 로딩되고 이어서 하나 이상의 프로세스 모듈들 (104) 로 이송된다. 예를 들어, 기판들은 EFEM (108) 으로부터 로드록 (112) 으로 하나 이상의 EFEM 로봇들 (116) 을 통해 이송될 수도 있다. 진공 이송 모듈 (VTM) (120) 은 기판들을 프로세스 모듈들 (104) 내외로 이송하도록 구성된 하나 이상의 VTM 로봇들 (124) 을 포함한다. 예를 들어, 기판은 연속하는 프로세스 모듈들 (104) 각각으로 로딩될 수도 있다.
일 예에서, 프로세스 모듈들 (104) 은 QSM들 (quadstation process modules) 에 대응한다. QSM은 단일 챔버 내 (즉, 프로세스 모듈 (104) 의 프로세스 챔버 (132) 내) 에 4 개의 프로세싱 스테이션들 (128) 을 포함할 수도 있다. 기판들 (136) 은 로드 스테이션 (140) 을 통해 프로세스 모듈 (104) 내로 로딩된다. 예를 들어, 기판들 (136) 은 VTM (120) 과 프로세스 모듈들 (104) 사이의 각각의 슬롯들 (144) 을 통해 VTM (120) 과 로드 스테이션들 (140) 사이에서 이송된다. 기계적 인덱서 (148) (즉, 인덱싱 메커니즘) 는 프로세싱 스테이션들 (128) 사이에서 기판들 (136) 을 순차적으로 로테이팅한다. 도시된 바와 같이, 기계적 인덱서 (148) 는 십자가 형상 스핀들에 대응한다. 예를 들어, 기판 (136) 은 VTM (120) 으로부터 로드 스테이션 (140) ("1"로 라벨링됨) 에 대응하는 프로세싱 스테이션 (128) 으로 이송될 수도 있고, "2", "3", 및 "4"로 라벨링된 프로세싱 스테이션들 (128) 사이에서 순차적으로 로테이팅하고 이어서 프로세스 모듈 (104) 로부터 제거를 위해 로드 스테이션 (140) 로 돌아간다. 시스템 제어기 (152) 는 이로 제한되는 것은 아니지만, 로봇들 (116 및 124) 의 동작, 인덱서들 (148) 의 로테이션, 등을 포함하여, 다양한 툴의 동작들을 제어할 수도 있다.
기판 프로세싱 툴을 위한 기계적 인덱서는 제 1 엔드 이펙터 및 제 2 엔드 이펙터를 각각 갖는 제 1 암 및 제 2 암을 포함한다. 제 1 암은 기판 프로세싱 툴의 복수의 프로세싱 스테이션들에서 제 1 암의 제 1 엔드 이펙터를 선택적으로 포지셔닝하고 기판 프로세싱 툴의 복수의 프로세싱 스테이션들에서 제 1 암의 제 2 엔드 이펙터를 선택적으로 포지셔닝하도록 제 1 스핀들을 회전시키도록 구성된다. 제 2 암은 기판 프로세싱 툴의 복수의 프로세싱 스테이션들에서 제 2 암의 제 1 엔드 이펙터를 선택적으로 포지셔닝하고 기판 프로세싱 툴의 복수의 프로세싱 스테이션들에서 제 2 암의 제 2 엔드 이펙터를 선택적으로 포지셔닝하도록 제 2 스핀들을 회전시키도록 구성된다. 복수의 프로세싱 스테이션들 중 적어도 하나는 기판 프로세싱 툴의 로드 스테이션에 대응한다. 제 2 암의 제 1 엔드 이펙터 또는 제 2 엔드 이펙터가 로드 스테이션에 위치되는 동안 제 1 암의 제 1 엔드 이펙터 또는 제 2 엔드 이펙터가 로드 스테이션에 위치되도록 제 1 암은 제 2 암에 독립적으로 회전하도록 구성된다.
다른 특징들에서, 제 1 스핀들 및 제 2 스핀들은 동축이다. 제 1 암 및 제 2 암은 기판 프로세싱 툴의 복수의 프로세싱 스테이션들에 상대적으로 상승되고 하강되도록 구성된다. 제 2 스핀들은 제 1 스핀들 내에 배치된다.
다른 특징들에서, 제 1 암 및 제 2 암은 제 1 구성 내로 회전가능하다. 제 1 구성에서, 제 1 암의 제 1 엔드 이펙터 및 제 2 엔드 이펙터는 복수의 프로세싱 스테이션들의 제 1 프로세싱 스테이션 및 제 3 프로세싱 스테이션 각각에 위치되고, 그리고 제 2 암의 제 1 엔드 이펙터 및 제 2 엔드 이펙터는 복수의 프로세싱 스테이션들의 제 2 프로세싱 스테이션 및 제 4 프로세싱 스테이션 각각에 위치된다. 제 1 암 및 제 2 암은 제 2 구성 내로 회전가능하다. 제 2 구성에서, 제 1 암의 제 1 엔드 이펙터 및 제 2 엔드 이펙터는 복수의 프로세싱 스테이션들의 제 1 프로세싱 스테이션 및 제 3 프로세싱 스테이션 각각에 위치되고, 그리고 제 2 암의 제 1 엔드 이펙터 및 제 2 엔드 이펙터는 복수의 프로세싱 스테이션들의 제 3 프로세싱 스테이션 및 제 1 프로세싱 스테이션 각각에 위치된다.
다른 특징들에서, 제 1 프로세싱 스테이션은 기판 프로세싱 툴의 로드 스테이션에 대응한다. 제 1 프로세싱 스테이션 및 제 3 프로세싱 스테이션은 기판 프로세싱 툴의 마주보는 모서리부들에 배치되고 그리고 제 2 프로세싱 스테이션 및 제 4 프로세싱 스테이션은 기판 프로세싱 툴의 마주보는 모서리부들에 배치된다.
다른 특징들에서, 제 1 암 및 제 2 암은 제 1 구성 내로 회전가능하다. 제 1 구성에서, (i) 제 1 암의 제 1 엔드 이펙터 및 제 2 엔드 이펙터는 복수의 프로세싱 스테이션들의 제 1 프로세싱 스테이션 및 제 4 프로세싱 스테이션 각각에 위치되고, 그리고 (ii) 제 2 암의 제 1 엔드 이펙터 및 제 2 엔드 이펙터는 복수의 프로세싱 스테이션들의 제 2 프로세싱 스테이션 및 제 3 프로세싱 스테이션 각각에 위치된다. 제 1 암 및 제 2 암은 제 2 구성 내로 회전가능하다. 제 2 구성에서, (i) 제 1 암의 제 1 엔드 이펙터 및 제 2 엔드 이펙터는 복수의 프로세싱 스테이션들의 제 1 프로세싱 스테이션 및 제 4 프로세싱 스테이션 각각에 위치되고, 그리고 (ii) 제 2 암의 제 1 엔드 이펙터 및 제 2 엔드 이펙터는 복수의 프로세싱 스테이션들의 제 4 프로세싱 스테이션 및 제 1 프로세싱 스테이션 각각에 위치된다.
다른 특징들에서, 제 1 프로세싱 스테이션 및 제 4 프로세싱 스테이션은 기판 프로세싱 툴의 제 1 측면 상에 배치되고 그리고 (ii) 제 2 프로세싱 스테이션 및 제 3 프로세싱 스테이션은 제 1 측면과 마주보는 기판 프로세싱 툴의 제 2 측면 상에 배치된다. 제 1 프로세싱 스테이션 및 제 4 프로세싱 스테이션은 기판 프로세싱 툴의 로드 스테이션들에 대응한다.
다른 특징들에서, 기판 프로세싱 툴은 진공 이송 모듈 및 진공 이송 모듈에 커플링된 복수의 프로세스 모듈들을 포함한다. 복수의 프로세스 모듈들 중 적어도 하나는 기계적 인덱서를 포함한다. 복수의 프로세스 모듈들은 진공 이송 모듈의 제 1 측면에 커플링된 제 1 프로세스 모듈 및 제 2 프로세스 모듈 및 진공 이송 모듈의 제 2 측면에 커플링된 제 3 프로세스 모듈 및 제 4 프로세스 모듈을 포함한다.
다른 특징들에서, 어댑터 플레이트가 제 1 측면과 제 1 프로세스 모듈 및 제 2 프로세스 모듈 사이에 배치된다. 어댑터 플레이트는 진공 이송 모듈의 제 1 측면과 인터페이싱하도록 구성된 평면형 측면 및 제 1 프로세스 모듈 및 제 2 프로세스 모듈과 인터페이싱하도록 구성된 기울어진 측면을 포함한다.
다른 특징들에서, 진공 이송 모듈의 제 1 측면 및 제 2 측면은 챔퍼된다. 어댑터 플레이트는 제 1 측면과 제 1 프로세스 모듈 및 제 2 프로세스 모듈 사이에 배치된다. 어댑터 플레이트는 진공 이송 모듈의 제 1 측면과 인터페이싱하도록 구성된 기울어진 측면 및 제 1 프로세스 모듈 및 제 2 프로세스 모듈과 인터페이싱하도록 구성된 평면형 측면을 포함한다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 예시적인 기판 프로세싱 툴이다.
도 2a는 X-형상 구성의 기계적 인덱서를 갖는 제 1 예시적인 프로세스 모듈을 도시한다.
도 2b는 제 2 구성의 기계적 인덱서를 갖는 제 1 예시적인 프로세스 모듈을 도시한다.
도 2c는 제 1 예시적인 프로세스 모듈의 측면도이다.
도 2d는 기계적 인덱서의 측면도이다.
도 3a는 X-형상 구성의 기계적 인덱서를 갖는 제 2 예시적인 프로세스 모듈을 도시한다.
도 3b는 제 2 구성의 기계적 인덱서를 갖는 제 2 예시적인 프로세스 모듈을 도시한다.
도 3c는 제 2 예시적인 프로세스 모듈의 측면도이다.
도 3d는 X-형상 구성의 기계적 인덱서를 도시한다.
도 3e는 제 2 구성의 기계적 인덱서를 도시한다.
도 4a는 제 1 예시적인 기판 프로세싱 툴을 도시한다.
도 4b는 제 2 예시적인 기판 프로세싱 툴을 도시한다.
도 4c는 예시적인 이송 로봇을 도시한다.
도 4d는 기판 프로세싱 툴을 위한 예시적인 어댑터 플레이트를 도시한다.
도 4e는 제 3 예시적인 기판 프로세싱 툴이다.
도 5는 기판 프로세싱 툴의 기계적 인덱서를 동작시키는 제 1 예시적인 방법의 단계들을 도시한다.
도 6은 기판 프로세싱 툴의 기계적 인덱서를 동작시키는 제 2 예시적인 방법의 단계들을 도시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
관련된 출원들에 대한 교차 참조
본 출원은 2017년 1월 23일 출원된 미국 특허 가출원 번호 제 62/449,325 호의 이익을 주장한다. 상기 참조된 출원의 전체 개시는 본 명세서에 참조로서 인용된다.
기판 프로세싱 툴의 프로세스 모듈들은 복수의 스테이션, 순차적 프로세싱 모드에서 동작될 수도 있다. 예를 들어, 전체 프로세스의 일부만이 프로세스 모듈의 프로세스 스테이션들 각각의 기판 상에서 수행될 수도 있다. 스테이션들 각각에서 프로세스 시간들이 감소하고 그리고/또는 스테이션 각각이 기판 상에서 보다 많은 수의 프로세스들을 수행함에 따라, 기계적 인덱서를 통해 기판을 회전하고 이송하는 것과 연관된 지연들이 프로세스 모듈에 남아 있는 기판 각각에 대해 총 시간에서 보다 큰 부분이 된다. 일 예에서, 기판들은 로드 스테이션에 대응하는 프로세스 스테이션으로 순차적으로 이송된다. 인덱서는 기판이 4 개의 스테이션들 각각의 인덱서 상에 배치될 때까지 이송 각각에 후속하여 회전한다. 이어서 프로세싱은 기판들 각각 상에서 수행될 수도 있다.
본 개시의 원리들에 따른 기판 프로세싱 및 이송 시스템들 및 방법들은 이송 모듈 (예를 들어, 진공 이송 모듈, 또는 VTM), 프로세스 모듈, 및 기판 이송 시간들을 감소시키도록 구성된 기계적 인덱서를 구현한다. 예를 들어, VTM은 2 개 이상 (예를 들어, 4 개) 의 기판들을 프로세스 모듈 내로 로딩하고 이송마다 2 개 이상의 기판들을 프로세스 모듈로부터 회수하도록 구성된다.
일 예에서, 기계적 인덱서는 각각 제 1 단부 및 제 2 단부 (예를 들어, 엔드 이펙터들) 를 갖는 2 개의 독립적으로 회전가능한 암들을 포함한다. 인덱서는 선택적으로 제 1, X-형상 구성으로 배치될 수도 있다. X-형상 구성에서, 단부들 각각은 프로세스 모듈의 각각의 프로세싱 스테이션과 정렬될 수도 있다. 예를 들어, 제 1 암의 제 1 단부 및 제 2 단부는 프로세싱 스테이션들 1 및 3 (또는 2 및 4) 과 대각선으로 마주보게 정렬될 수도 있는 한편, 제 2 암의 제 1 단부 및 제 2 단부는 프로세싱 스테이션들 2 및 4 (또는 1 및 3) 과 대각선으로 마주보게 정렬될 수도 있다. 제 2 구성에서, 암들 중 하나는 제 1 암 및 제 2 암이 정렬되도록 상승되고 회전한다. 제 2 구성에서, 암들 각각의 제 1 단부 및 제 2 단부는 스테이션들 1 및 3 또는 2 및 4와 정렬된다. 즉, 제 2 구성에서, 두 암들 각각의 단부들은 프로세싱 스테이션들 중 임의의 하나의 스테이션에 수직으로 스택될 수도 있다. 특히, 두 암들의 각각의 단부들은 로드 스테이션과 정렬될 수도 있다.
이에 따라, 이 예에서, 2 개의 기판들은 (예를 들어, 2 개의 기판들을 한번에 이송하도록 구성된 수직으로 스택된 엔드 이펙터들을 갖는 VTM 로봇을 사용하여) 프로세스 모듈로 그리고/또는 프로세스 모듈로부터 이송될 수도 있다. 두 암들은 2 개의 부가적인 기판들을 프로세스 모듈로 그리고/또는 프로세스 모듈로부터 이송하기 위해 암들 각각의 마주보는 단부들이 로드 스테이션과 정렬되도록 회전할 수도 있다. 이어서 기계적 인덱서는 4 개의 기판들 각각이 상이한 프로세싱 스테이션과 정렬하도록 제 1 X-형상 구성으로 배치될 수도 있다.
또 다른 예에서, 프로세스 모듈은 2 개의 로드 스테이션들을 포함할 수도 있다. 예를 들어, 로드 스테이션들은 VTM에 인접한 프로세싱 스테이션들에 대응할 수도 있다. 이 예에서, 기계적 인덱서는 제 1 V-형상 암 및 제 2 V-형상 암을 포함한다. 인덱서는 제 1, X-형상 구성으로 배치될 수도 있다. X-형상 구성에서, 제 1 V-형상 암의 제 1 단부 및 제 2 단부는 프로세싱 스테이션들 1 및 4 (또는 프로세싱 스테이션들 2 및 1, 3 및 2, 또는 4 및 3) 과 정렬될 수도 있는 한편 제 2 V-형상 암의 제 1 단부 및 제 2 단부는 프로세싱 스테이션들 2 및 3 (또는 프로세싱 스테이션들 3 및 4, 4 및 1, 또는 1 및 2) 과 정렬할 수도 있다. 제 2 구성에서, 암들 중 하나는 제 1 암 및 제 2 암이 정렬되도록 상승되고 회전한다. 제 2 구성에서, 암들 각각의 제 1 단부 및 제 2 단부는, 예를 들어, 로드 스테이션들에 대응할 수도 있는 스테이션들 1 및 4와 정렬된다. 즉, 제 2 구성에서, 두 암들 각각의 단부들은 로드 스테이션에 수직으로 스택될 수도 있다.
이에 따라, 이 예에서, 4 개의 기판들은 (예를 들어, 2 개의 기판들을 한번에 이송하도록 구성된 수직으로 스택된 엔드 이펙터들을 각각 갖는 2 개의 VTM 로봇들을 사용하여) 프로세스 모듈로 그리고/또는 프로세스 모듈로부터 이송될 수도 있다. 이어서 기계적 인덱서는 4 개의 기판들 각각이 상이한 프로세싱 스테이션과 정렬하도록 제 1 X-형상 구성으로 배치될 수도 있다.
이하에 보다 상세히 기술된 바와 같이, 본 개시에 따른 기판 프로세싱 및 이송 시스템들 및 방법들은 에너지 소모를 감소시킬 수도 있고, 기판 프로세싱과 연관된 오버헤드 시간을 감소시킬 수도 있고, 프로세싱 쓰루풋을 상승시킬 수도 있고, 툴 당 프로세스 모듈들의 수를 상승시키는 등을 할 수도 있다. 4 개의 프로세싱 스테이션들을 갖는 프로세스 모듈들에 대해 기술되었지만, 본 개시의 원리들은 또한 다른 수의 프로세싱 스테이션들 (예를 들어, 2, 3, 5, 6, 7, 8, 등) 을 갖는 프로세스 모듈들에서 구현될 수도 있다.
이제 도 2a, 도 2b, 도 2c 및 도 2d를 참조하면, 본 발명의 개시에 따른 기계적 인덱서 (204) 를 포함하는 예시적인 프로세스 모듈 (200) 이 도시된다. 이 예에서, 기계적 인덱서 (204) 는 각각 제 1 단부 및 제 2 단부 (예를 들어, 엔드 이펙터들 216, 220, 224, 및 228) 를 갖는, 2 개의 독립적으로 회전가능한 암들 (208 및 212) 을 포함한다. 인덱서 (204) 는 도 2a에서 제 1, X-형상 구성으로 그리고 도 2b에서 제 2 구성으로 배치된다. X-형상 구성에서, 제 1 암 (208) 의 엔드 이펙터들 (216 및 220) 은 프로세싱 스테이션들 1 및 3에 각각 위치되고, 그리고 엔드 이펙터들 (224 및 228) 은 프로세싱 스테이션들 2 및 4에 각각 위치된다. 프로세싱 스테이션 1은 슬롯 (236) 을 통해 액세스가능한 로드 스테이션 (232) 에 대응할 수도 있다.
제 2 구성에서, 제 2 암 (212) 은 제 1 암 (208) 및 제 2 암 (212) 이 정렬되도록 상승되고 회전할 수도 있다. 예를 들어, 도 2d에 도시된 바와 같이 제 1 암 (208) 은 제 1 스핀들 (240) 에 커플링될 수도 있고 제 2 암 (212) 은 제 2 스핀들 (244) 에 커플링될 수도 있다. 제 2 스핀들 (244) 은 제 1 스핀들 (240) 내에 둘러싸이고 제 1 스핀들 (240) 내부에서 선택적으로 상승 및 하강되도록 구성된다. 이에 따라, 제 2 스핀들 (244) 을 상승시키는 것은 제 2 암 (212) 을 제 1 암 (208) 에 상대적으로 상승시켜, 제 2 암 (212) 으로 하여금 제 1 암 (208) 과 독립적으로 회전되게 한다. 이러한 방식으로, 엔드 이펙터들 (216, 220, 224, 및 228) 및 각각의 기판들 (248) 이 로드 스테이션 (232) 또는 프로세싱 스테이션들 1 내지 4 중 임의의 하나에서 서로 위/아래에 위치될 수도 있다.
예를 들어, 제 2 암 (212) 은 제 1 암 (208) 및 제 2 암 (212) 이 도 2b에 도시된 바와 같이 제 2 구성에 있도록 회전할 수도 있다. 제 2 구성에서, 엔드 이펙터들 (216 및 228) 각각은 로드 스테이션 (232) 에 위치된다. 즉, 제 2 구성에서, 엔드 이펙터들 (216 및 228) 은 로드 스테이션 (232) 에서 수직으로 스택된다. 이에 따라, 엔드 이펙터들 (216 및 228) 상에 배치된 기판들 (248) 은 프로세스 모듈 (200) 로부터 회수될 수도 있고 그리고/또는 새로운 (즉, 프로세싱되지 않은) 기판들이 슬롯 (236) 을 통해 엔드 이펙터들 (216 및 228) 상에 로딩될 수도 있다.
일 예시적인 이송 시퀀스에서, 제 1 암 (208) 및 제 2 암 (212) 각각은 각각의 프로세싱 스테이션들 1 내지 4로부터 기판들 (248) 을 리프팅하도록 제 1 고도 (elevation) 로 상승된다. 예를 들어, 엔드 이펙터들 (216, 220, 224, 및 228) 은 프로세싱 스테이션들 1, 2, 3, 및 4에, 각각 위치될 수도 있다. 제 2 암 (212) 은 제 1 고도 위의 제 2 고도로 더 상승될 수도 있다. 이에 따라, 제 2 암 (212) 은 엔드 이펙터 (228) 가 프로세싱 스테이션 1 (즉, 로드 스테이션 (332)) 에 위치되도록 (예를 들어, 도 2b에 도시된 바와 같이 시계 방향으로 대략 90 도) 회전될 수도 있다. 프로세스 모듈 (200) 외부의 VTM 로봇은 이어서 엔드 이펙터들 (216 및 228) 각각 상에 배치된 기판들 (248) 이 회수될 수도 있다. 일부 예들에서, VTM 로봇은 프로세싱된 기판들 (248) 을 프로세싱되지 않은 기판들과 교환한다.
기판들 (248) 을 로딩하고 그리고/또는 프로세싱되지 않은 기판들을 엔드 이펙터들 (216 및 228) 상으로 로딩하는 것에 후속하여, 전체 인덱서 (204) (즉, 제 1 암 (208) 및 제 2 암 (212) 둘 다) 는 제 1 암 (208) 및 제 2 암 (212) 의 각각의 제 1 고도 및 제 2 고도를 유지하는 동안 대략 180 도 회전할 수도 있다. 이에 따라, 인덱서 (204) 는 엔드 이펙터들 (220 및 224) 은 로딩 스테이션 (232) 에 위치되도록 회전된다. 이어서 VTM 로봇은 엔드 이펙터들 (220 및 224) 로부터 프로세싱된 기판들 (248) 을 회수할 수도 있고 그리고/또는 엔드 이펙터들 (220 및 224) 상으로 프로세싱되지 않은 기판들을 로딩할 수도 있다. 이어서 제 2 암 (212) 은 엔드 이펙터들 (216 및 220) 이 프로세싱 스테이션들 3 및 1에 각각 남아 있는 동안, 프로세싱 스테이션들 2 및 4에 엔드 이펙터들 (224 및 228) 을 각각 위치시키도록 암 (208) 에 대해 (예를 들어, 시계 방향으로 대략 90 도) 회전될 수도 있다. 이어서 제 1 암 (208) 및 제 2 암 (212) 각각은 각각의 프로세싱 스테이션들 1 내지 4에 프로세싱되지 않은 기판들을 위치시키도록 하강될 수도 있다. 다른 예시적인 이송 시퀀스들이 구현될 수도 있다.
이제 도 3a, 도 3b, 도 3c, 도 3d 및 도 3e를 참조하면, 본 발명의 개시에 따른 기계적 인덱서 (304) 를 포함하는 예시적인 프로세스 모듈 (300) 이 도시된다. 이 예에서, 프로세스 모듈 (300) 은 로드 스테이션들 (308 및 312) 및 대응하는 슬롯들 (316 및 320) 을 포함한다. 인덱서 (304) 는 각각 제 1 단부 및 제 2 단부 (예를 들어, 엔드 이펙터들 332, 336, 340, 및 344) 를 갖는 제 1 V-형상 암 및 제 2 V-형상 암 (324 및 328) 을 포함한다. 인덱서 (304) 는 도 3a 및 도 3d에서 제 1, X-형상 구성으로 그리고 도 3b 및 도 3e에서 제 2 구성으로 배치된다. X-형상 구성에서, 엔드 이펙터들 (332 및 336) 은 프로세싱 스테이션들 1 및 4에 각각 위치되고, 그리고 엔드 이펙터들 (224 및 228) 은 프로세싱 스테이션들 2 및 3에 각각 위치된다. 프로세싱 스테이션들 1 및 4는 로드 스테이션들 (308 및 320) 에 대응할 수도 있다.
제 2 구성에서, 제 2 암 (328) 은 제 1 암 (324) 및 제 2 암 (328) 이 정렬되도록 상승되고 회전할 수도 있다. 예를 들어, 제 1 암 (324) 및 제 2 암 (328) 은 도 2d에 기술된 제 1 스핀들 및 제 2 스핀들 (240 및 244) 과 유사한 방식으로 동작하도록 구성된 독립적으로 회전가능한 스핀들들 (348 및 352) 에 커플링될 수도 있다. 이에 따라, 제 2 암 (328) 은 제 1 암 (324) 및 제 2 암 (328) 이 도 3b에 도시된 제 2 구성으로 배치되도록 회전될 수도 있다. 제 2 구성에서, 엔드 이펙터들 (332 및 344) 은 로드 스테이션 (308) 에 각각 위치되고 엔드 이펙터들 (336 및 340) 은 로드 스테이션 (312) 에 각각 위치된다. 예를 들어, 엔드 이펙터들 (332 및 344) 및 그 위에 배치된 대응하는 기판들 (356) 은 로드 스테이션 (308) 에서 수직으로 스택된다. 반대로, 엔드 이펙터들 (336 및 340) 및 그 위에 배치된 대응하는 기판들 (356) 은 로드 스테이션 (312) 에서 수직으로 스택된다. 이에 따라, 기판들 (356) 은 프로세스 모듈 (300) 로부터 회수될 수도 있고 그리고/또는 새로운 (즉, 프로세싱되지 않은) 기판들이 각각의 슬롯들 (316 및 320) 을 통해 엔드 이펙터들 (332, 344 및 336, 340) 상으로 로딩될 수도 있다.
일 예시적인 이송 시퀀스에서, 제 1 암 (324) 및 제 2 암 (328) 각각은 각각의 프로세싱 스테이션들 1 내지 4로부터 기판들 (356) 을 리프팅하도록 제 1 고도로 상승된다. 예를 들어, 엔드 이펙터들 (332, 340, 344, 및 336) 은 프로세싱 스테이션들 1, 2, 3, 및 4에, 각각 위치될 수도 있다. 제 2 암 (328) 은 제 1 고도 위의 제 2 고도로 더 상승될 수도 있다. 이에 따라, 제 2 암 (328) 은 엔드 이펙터들 (344 및 340) 가 프로세싱 스테이션들 1 및 2 (즉, 로드 스테이션들 (308 및 312)) 에 각각 위치되도록 (예를 들어, 도 3b에 도시된 바와 같이 대략 180 도) 회전될 수도 있다. 프로세스 모듈 (300) 외부의 VTM 로봇은 이어서 엔드 이펙터들 (332, 340, 344, 및 336) 각각 상에 배치된 기판들 (356) 이 회수될 수도 있다. 일부 예들에서, VTM 로봇은 프로세싱된 기판들 (356) 을 프로세싱되지 않은 기판들과 교환한다.
기판들 (356) 을 로딩하고 그리고/또는 프로세싱되지 않은 기판들을 엔드 이펙터들 (332, 340, 344, 및 336) 상으로 로딩하는 것에 후속하여, 제 2 암 (328) 은 제 1 암 (324) 및 제 2 암 (328) 의 각각의 제 1 고도 및 제 2 고도를 유지하는 동안 인덱서 (304) 를 X-형상 구성으로 돌아가도록 대략 180 도 회전할 수도 있다. 이에 따라, 엔드 이펙터들 (332, 340, 344, 및 336) 은 프로세싱 스테이션들 1, 2, 3, 및 4에 각각 위치된다. 이어서 제 1 암 (324) 및 제 2 암 (328) 은 각각의 프로세싱 스테이션들 1 내지 4 상으로 하강될 수도 있다. 다른 예시적인 이송 시퀀스들이 구현될 수도 있다.
이제 도 4a, 도 4b 및 도 4c를 참조하면, 이송 로봇들 (408) 로 집합적으로 지칭되는, 예시적인 이송 로봇들 (408-1, 408-2, 및 408-3) 을 갖는 예시적인 기판 프로세싱 툴들 (400 및 404) 의 평면도가 도시된다. 프로세싱 툴들 (400 및 404) 은 예시를 목적으로 기계적 인덱서들을 갖지 않는 것으로 도시된다. 예를 들어, 툴들 (400 및 404) 각각의 각각의 프로세스 모듈들 (412) 은 상기 기술된 바와 같이 기계적 인덱서 (204) 및 기계적 인덱서 (304) 중 어느 하나를 포함할 수도 있다.
진공 이송 모듈 (VTM) (416) 및 EFEM (equipment front end module) (420) 은 이송 로봇들 (408) 중 하나를 각각 포함할 수도 있다. 이송 로봇들 (408-1 및 408-2) 은 동일하거나 상이한 구성들을 가질 수도 있다. 단지 예를 들면, 이송 로봇 (408-1) 은 2 개의 수직으로 스택된 엔드 이펙터들을 갖는 단일 암을 포함한다. 반대로, 이송 로봇 (408-2) 은 도 4c에 도시된 바와 같이 2 개의 수직으로 스택된 엔드 이펙터들을 각각 갖는 2 개의 암들을 갖는 것으로 도시된다. VTM (416) 의 로봇 (408) 은 로드록 (424) 으로 그리고 로드록 (424) 으로부터 그리고 프로세스 모듈들 (412) 사이에서 기판들을 선택적으로 이송한다. EFEM (420) 의 로봇 (408-3) 은 EFEM (420) 내외로 그리고 로드록 424) 으로 그리고 로드록 (424) 으로부터 기판들을 이송한다. 단지 예를 들면, 로봇 (408-3) 은 단일 엔드 이펙터 또는 2 개의 수직으로 스택된 엔드 이펙터들을 각각 갖는 2 개의 암들을 가질 수도 있다.
툴 (400) 은 예를 들어, 각각의 슬롯 (428) 을 통해 액세스가능한 단일 로드 스테이션을 각각 갖는 4 개의 프로세스 모듈들 (412) 과 인터페이싱하도록 구성된다. 반대로, 툴 (404) 은 각각 슬롯들 (432 및 436) 을 통해 2 개의 로드 스테이션들을 각각 갖는 3 개의 프로세스 모듈들 (412) 과 인터페이싱하도록 구성된다. 도시된 바와 같이, VTM (416) 의 측면들 (440) 은 상이한 배열들 (예를 들어, 상이한 양, 간격, 등) 의 프로세스 모듈들 (412) 과 커플링을 용이하게 하도록 기울어질 (예를 들어, 챔퍼링될) 수도 있다.
예를 들어, 도 4a에 도시된 바와 같이, VTM은 측면 (440) 당 2 개의 프로세스 모듈들 (412) 에 커플링된다. 반대로, VTM (416) 의 형상은 또한 2 개의 로드 스테이션들을 갖는 프로세스 모듈들 (412) 의 연결을 가능하게 한다. 예를 들어, 2 개의 슬롯들 (432 및 436) 을 갖는 어댑터 플레이트 (444) 는 도 4b에 도시된 바와 같이 2 개의 로드 스테이션들을 갖는 단일 프로세스 모듈 (412) 을 수용하도록 제공될 수도 있다. 도시된 바와 같이, 어댑터 플레이트 (444) 는 VTM (416) 의 기울어진 측면 (440) 과 인터페이싱하도록 구성된 제 1, 기울어진 측면 및 프로세스 모듈 (412) 과 인터페이싱하도록 구성된 제 2, 기울어지지 않은 (즉, 곧바른 또는 편평한) 측면을 갖는다. 이에 따라, VTM (416) 은 또한 도 4a에 도시된 바와 같은 단 하나의 로드 스테이션 또는 도 4b에 도시된 바와 같은 2 개의 로드 스테이션들을 갖는 프로세스 모듈들 (412) 의 유연성을 가능하게 하는 한편, 단일 로드 스테이션 (즉, 툴 (400) 의 단위 면적 당 프로세스 스테이션들의 수를 증가시키도록) 을 갖는 보다 많은 수의 프로세스 모듈들 (412) 의 연결을 가능하게 하는 유연성을 제공한다. 다른 예들에서, VTM (416) 의 측면들은 기울어지지 않을 (즉, 곧바른 또는 편평한) 수도 있다. 이들 예들에서, 툴 (400) 은 도 4d에 도시된 바와 같이, 단일 로드 스테이션을 각각 갖는 2 개의 프로세스 모듈들 (412) 과 인터페이싱하도록 구성된 어댑터 플레이트 (446) 를 포함할 수도 있다. 즉, VTM (416) 의 기울어진 측면 (440) 을 기울어지지 않은 측면으로 변환하는 대신, 어댑터 플레이트 (446) 는 VTM (416) 의 기울어지지 않은 측면을 기울어진 측면으로 변환한다.
VTM (416) 의 로봇 (408-2) 은 4 개의 엔드 이펙터들 (456) 모두에 대해 2 개의 수직으로 스택된 엔드 이펙터들 (456) 을 각각 포함하는 2 개의 암들 (448 및 452) 을 포함한다. 이에 따라, 암들 (448 및 452) 각각은 프로세스 모듈들 (412), 로드록 (424), 등 각각으로 그리고/또는 각각으로부터 2 개의 기판들을 동시에 이송하도록 구성된다. 도 4a에 도시된 예에서, 로봇 (408-1) 은 프로세스 모듈 (412) 로부터 2 개의 기판들을 회수할 수도 있고 미리 결정된 이송시 2 개의 기판들을 프로세스 모듈 (412) 내로 로딩될 수도 있다. 반대로, 로봇 (408-2) 은 프로세스 모듈 (412) 로부터 4 개의 기판들을 회수할 수도 있고 미리 결정된 이송시 4 개의 기판들을 프로세스 모듈 (412) 내로 로딩할 수도 있다.
시스템 제어기 (460) 는 이로 제한되는 것은 아니지만, 로봇들 (408) 의 동작, (예를 들어, 도 2 및 도 3의 인덱서들 204 및 304에 대응하는) 프로세스 모듈들 (412) 의 각각의 인덱서들의 회전, 등을 포함하는 기판 프로세싱 툴들 (400 및 404) 의 다양한 동작들을 제어할 수도 있다.
도 4e에 도시된 또 다른 예에서, 기판 프로세싱 툴 (464) 은 집합적으로 이송 로봇들 (468) 로 지칭되는 이송 로봇들 (468-1 및 468-2) 을 포함한다. 프로세싱 툴 (464) 은 예시적인 목적으로 기계적 인덱서들을 갖지 않는 것으로 도시된다. 예를 들어, 툴 (464) 의 각각의 프로세스 모듈들 (472) 은 상기 기술된 바와 같이 기계적 인덱서 (204) 및 기계적 인덱서 (304) 중 하나를 포함할 수도 있다.
VTM (476) 및 EFEM (480) 은 각각 이송 로봇들 (408) 중 하나를 포함할 수도 있다. 이송 로봇들 (468-1 및 468-2) 은 동일하거나 상이한 구성들을 가질 수도 있다. 단지 예를 들면, 이송 로봇 (468-1) 은 도 4c에 도시된 바와 같이 수직으로 스택된 엔드 이펙터들을 각각 갖는 2 개의 암들을 갖는 것으로 도시된다. VTM (476) 의 로봇 (468-1) 은 EFEM (480) 으로 그리고 EFEM (480) 으로부터 그리고 프로세스 모듈들 (472) 사이에서 기판들을 선택적으로 이송한다. EFEM (480) 의 로봇 (468-2) 은 EFEM (480) 내외로 기판들을 이송한다. 단지 예를 들면, 로봇 (468-2) 은 단일 엔드 이펙터 또는 2 개의 수직으로 스택된 엔드 이펙터들을 각각 갖는 2 개의 암들을 가질 수도 있다.
툴 (464) 은 예를 들어, 각각의 슬롯 (484) 을 통해 액세스가능한 단일 로드 스테이션을 각각 갖는 4 개의 프로세스 모듈들 (472) 과 인터페이싱하도록 구성된다. 이 예에서, VTM (476) 의 측면들 (488) 이 기울어지지 않는다 (즉, 측면들 (488) 이 실질적으로 곧바르거나 평면형임). 이러한 방식으로, 단일 로드 스테이션을 각각 갖는 2 개의 프로세스 모듈들 (472) 이 VTM (476) 의 측면들 (488) 각각에 커플링될 수도 있다. 이에 따라, EFEM (480) 은 툴 (464) 의 풋프린트를 감소시키도록 2 개의 프로세스 모듈들 (472) 사이에 적어도 부분적으로 배치될 수도 있다.
이제 도 5를 참조하면, 기판 프로세싱 툴의 기계적 인덱서를 동작시키는 제 1 예시적인 방법 (500) 은 504 (예를 들어, 도 2a, 도 2b, 도 2c 및 도 2d에 도시된 바와 같은 기계적 인덱서 (204)) 에서 시작된다. 단지 예를 들면, 기계적 인덱서의 동작은 시스템 제어기 (460) 와 같은 제어기에 의해 제어될 수도 있다. 508에서, 기계적 인덱서는 제 1 암의 제 1 단부 및 제 2 단부는 제 1 프로세싱 스테이션 및 제 3 프로세싱 스테이션에 위치되고 제 2 암의 제 1 단부 및 제 2 단부가 제 2 프로세싱 스테이션 및 제 4 프로세싱 스테이션 (예를 들어, 도 2a에 도시된 바와 같이) 에 위치되는 제 1, X-형상 구성으로 배치된다. 제 1 암 및 제 2 암의 단부들 각각은 각각의 프로세싱된 기판을 회수하도록 위치될 수도 있다. 512에서, 제 1 암 및 제 2 암은 프로세싱 스테이션들로부터 기판들을 리프팅하도록 각각의 스핀들들 상으로 이송된다. 516에서, 제 2 암의 제 2 단부가 로드 스테이션에 대응할 수도 있는 제 1 프로세싱 스테이션에 위치되도록, 제 2 암이 회전된다 (예를 들어, 도 2b에 도시된 바와 같이 시계 방향으로 90 도). 520에서, 로봇은 제 1 프로세싱 스테이션에 위치된 제 1 암의 제 1 단부 및 제 2 암의 제 2 단부로부터 프로세싱된 기판들을 회수한다.
524에서, 로봇은 제 1 프로세싱 스테이션에 위치된 제 1 암의 제 1 단부 및 제 2 암의 제 2 단부로 프로세싱되지 않은 기판들을 이송한다. 528에서, 제 1 암의 제 2 단부 및 제 2 암의 제 1 단부 각각이 제 1 프로세싱 스테이션에 위치되도록 제 1 암 및 제 2 암은 회전된다 (예를 들어, 180 도). 532에서, 로봇은 제 1 암의 제 1 단부 및 제 2 암의 제 2 단부로부터 프로세싱된 기판들을 회수한다. 536에선, 로봇은 제 1 프로세싱 스테이션에 위치된 제 1 암의 제 2 단부 및 제 2 암의 제 1 단부로 프로세싱되지 않은 기판들을 이송한다. 540에서, 제 2 암의 제 1 단부 및 제 2 단부가 제 2 프로세싱 스테이션 및 제 4 프로세싱 스테이션에 위치되도록 제 2 암이 회전된다 (예를 들어, 시계 방향으로 90 도) (즉, 기계적 인덱서가 제 1, X-형상 구성으로 돌아감). 544에서, 제 1 암 및 제 2 암은 각각의 프로세싱 스테이션들 상으로 프로세싱되지 않은 기판들을 위치시키도록 하강된다. 방법 (500) 은 548에서 종료된다.
이제 도 6을 참조하면, 기판 프로세싱 툴의 기계적 인덱서를 동작시키는 제 2 예시적인 방법 (600) 은 604 (예를 들어, 도 3a, 도 3b, 도 3c, 도 3d 및 도 3e에 도시된 바와 같은 기계적 인덱서 (304)) 에서 시작된다. 단지 예를 들면, 기계적 인덱서의 동작은 시스템 제어기 (460) 와 같은 제어기에 의해 제어될 수도 있다. 608에서, 기계적 인덱서는 제 1 암의 제 1 단부 및 제 2 단부는 제 1 프로세싱 스테이션 및 제 4 프로세싱 스테이션에 위치되고 제 2 암의 제 1 단부 및 제 2 단부가 제 2 프로세싱 스테이션 및 제 3 프로세싱 스테이션 (예를 들어, 도 3a에 도시된 바와 같이) 에 위치되는 제 1, X-형상 구성으로 배치된다. 제 1 암 및 제 2 암의 단부들 각각은 각각의 프로세싱된 기판을 회수하도록 위치될 수도 있다. 612에서, 제 1 암 및 제 2 암은 프로세싱 스테이션들로부터 기판들을 리프팅하도록 각각의 스핀들들 상으로 이송된다. 616에서, 제 2 암의 제 1 단부 및 제 2 단부가 로드 스테이션에 각각 대응할 수도 있는 제 4 프로세싱 스테이션 및 제 1 프로세싱 스테이션에 각각 위치되도록, 제 2 암이 회전된다 (예를 들어, 도 3b에 도시된 바와 같이 시계 방향으로 180 도). 620에서, 하나 이상의 로봇들은 제 1 프로세싱 스테이션에 위치된 제 1 암의 제 1 단부 및 제 2 단부 및 제 2 암의 제 1 단부 및 제 2 단부로부터 프로세싱된 기판들을 회수한다.
624에서, 로봇은 제 1 프로세싱 스테이션 및 제 4 프로세싱 스테이션에 위치된 제 1 암의 제 1 단부 및 제 2 단부 및 제 2 암의 제 1 단부 및 제 2 단부로 프로세싱되지 않은 기판들을 이송한다. 628에서, 제 2 암의 제 1 단부 및 제 2 단부가 제 2 프로세싱 스테이션 및 제 3 프로세싱 스테이션에 위치되도록 제 2 암이 회전된다 (예를 들어, 180 도) (즉, 기계적 인덱서가 제 1, X-형상 구성으로 돌아감). 632에서, 제 1 암 및 제 2 암은 각각의 프로세싱 스테이션들 상으로 프로세싱되지 않은 기판들을 위치시키도록 하강된다. 방법 (600) 은 636에서 종료된다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (17)

  1. 기판 프로세싱 툴을 위한 기계적 인덱서에 있어서,
    상기 기계적 인덱서는,
    제 1 엔드 이펙터 및 제 2 엔드 이펙터를 갖는 제 1 암으로서, 상기 제 1 암은 (i) 상기 기판 프로세싱 툴의 복수의 프로세싱 스테이션들에서 상기 제 1 암의 상기 제 1 엔드 이펙터를 선택적으로 포지셔닝하고 그리고 (ii) 상기 기판 프로세싱 툴의 상기 복수의 프로세싱 스테이션들에서 상기 제 1 암의 상기 제 2 엔드 이펙터를 선택적으로 포지셔닝하도록 제 1 스핀들 상에서 회전하도록 구성되는, 상기 제 1 암; 및
    제 1 엔드 이펙터 및 제 2 엔드 이펙터를 갖는 제 2 암으로서, 상기 제 2 암은 (i) 상기 기판 프로세싱 툴의 상기 복수의 프로세싱 스테이션들에서 상기 제 2 암의 상기 제 1 엔드 이펙터를 선택적으로 포지셔닝하고 그리고 (ii) 상기 기판 프로세싱 툴의 상기 복수의 프로세싱 스테이션들에서 상기 제 2 암의 상기 제 2 엔드 이펙터를 선택적으로 포지셔닝하도록 제 2 스핀들 상에서 회전하도록 구성되는, 상기 제 2 암을 포함하고,
    상기 복수의 프로세싱 스테이션들 중 적어도 하나는 상기 기판 프로세싱 툴의 로드 스테이션에 대응하고, 그리고
    상기 제 2 암의 상기 제 1 엔드 이펙터 또는 상기 제 2 엔드 이펙터가 상기 로드 스테이션에 위치되는 동안 상기 제 1 암의 상기 제 1 엔드 이펙터 또는 상기 제 2 엔드 이펙터가 상기 로드 스테이션에 위치되도록 상기 제 1 암은 상기 제 2 암에 독립적으로 회전하도록 구성되는, 기계적 인덱서.
  2. 제 1 항에 있어서,
    상기 제 1 스핀들 및 상기 제 2 스핀들은 동축인, 기계적 인덱서.
  3. 제 1 항에 있어서,
    상기 제 1 암 및 상기 제 2 암 각각은 상기 기판 프로세싱 툴의 상기 복수의 프로세싱 스테이션들에 상대적으로 상승 및 하강되도록 구성되는, 기계적 인덱서.
  4. 제 1 항에 있어서,
    상기 제 2 스핀들은 상기 제 1 스핀들 내에 위치되는, 기계적 인덱서.
  5. 제 1 항에 있어서,
    상기 제 1 암 및 상기 제 2 암은 제 1 구성 내로 회전가능하고; 그리고
    상기 제 1 구성에서, (i) 상기 제 1 암의 상기 제 1 엔드 이펙터 및 상기 제 2 엔드 이펙터는 상기 복수의 프로세싱 스테이션들의 제 1 프로세싱 스테이션 및 제 3 프로세싱 스테이션 각각에 위치되고, 그리고 (ii) 상기 제 2 암의 상기 제 1 엔드 이펙터 및 상기 제 2 엔드 이펙터는 상기 복수의 프로세싱 스테이션들의 제 2 프로세싱 스테이션 및 제 4 프로세싱 스테이션 각각에 위치되는, 기계적 인덱서.
  6. 제 5 항에 있어서,
    상기 제 1 암 및 상기 제 2 암은 제 2 구성 내로 회전가능하고; 그리고
    상기 제 2 구성에서, (i) 상기 제 1 암의 상기 제 1 엔드 이펙터 및 상기 제 2 엔드 이펙터는 상기 복수의 프로세싱 스테이션들의 상기 제 1 프로세싱 스테이션 및 상기 제 3 프로세싱 스테이션 각각에 위치되고, 그리고 (ii) 상기 제 2 암의 상기 제 1 엔드 이펙터 및 상기 제 2 엔드 이펙터는 상기 복수의 프로세싱 스테이션들의 상기 제 3 프로세싱 스테이션 및 상기 제 1 프로세싱 스테이션 각각에 위치되는, 기계적 인덱서.
  7. 제 6 항에 있어서,
    상기 제 1 프로세싱 스테이션은 상기 기판 프로세싱 툴의 상기 로드 스테이션에 대응하는, 기계적 인덱서.
  8. 제 6 항에 있어서,
    (i) 상기 제 1 프로세싱 스테이션 및 상기 제 3 프로세싱 스테이션은 상기 기판 프로세싱 툴의 마주보는 모서리부들에 배치되고 그리고 (ii) 상기 제 2 프로세싱 스테이션 및 상기 제 4 프로세싱 스테이션은 상기 기판 프로세싱 툴의 마주보는 모서리부들에 배치되는, 기계적 인덱서.
  9. 제 1 항에 있어서,
    상기 제 1 암 및 상기 제 2 암은 제 1 구성 내로 회전가능하고; 그리고
    상기 제 1 구성에서, (i) 상기 제 1 암의 상기 제 1 엔드 이펙터 및 상기 제 2 엔드 이펙터는 상기 복수의 프로세싱 스테이션들의 제 1 프로세싱 스테이션 및 제 4 프로세싱 스테이션 각각에 위치되고, 그리고 (ii) 상기 제 2 암의 상기 제 1 엔드 이펙터 및 상기 제 2 엔드 이펙터는 상기 복수의 프로세싱 스테이션들의 제 2 프로세싱 스테이션 및 제 3 프로세싱 스테이션 각각에 위치되는, 기계적 인덱서.
  10. 제 9 항에 있어서,
    상기 제 1 암 및 상기 제 2 암은 제 2 구성 내로 회전가능하고; 그리고
    상기 제 2 구성에서, (i) 상기 제 1 암의 상기 제 1 엔드 이펙터 및 상기 제 2 엔드 이펙터는 상기 복수의 프로세싱 스테이션들의 상기 제 1 프로세싱 스테이션 및 상기 제 4 프로세싱 스테이션 각각에 위치되고, 그리고 (ii) 상기 제 2 암의 상기 제 1 엔드 이펙터 및 상기 제 2 엔드 이펙터는 상기 복수의 프로세싱 스테이션들의 상기 제 4 프로세싱 스테이션 및 상기 제 1 프로세싱 스테이션 각각에 위치되는, 기계적 인덱서.
  11. 제 10 항에 있어서,
    (i) 상기 제 1 프로세싱 스테이션 및 상기 제 4 프로세싱 스테이션은 상기 기판 프로세싱 툴의 제 1 측면 상에 배치되고 그리고 (ii) 상기 제 2 프로세싱 스테이션 및 상기 제 3 프로세싱 스테이션은 상기 제 1 측면과 마주보는 상기 기판 프로세싱 툴의 제 2 측면 상에 배치되는, 기계적 인덱서.
  12. 제 10 항에 있어서,
    상기 제 1 프로세싱 스테이션 및 상기 제 4 프로세싱 스테이션은 상기 기판 프로세싱 툴의 로드 스테이션들에 대응하는, 기계적 인덱서.
  13. 진공 이송 모듈; 및
    상기 진공 이송 모듈에 커플링된 복수의 프로세스 모듈들을 포함하고,
    상기 복수의 프로세스 모듈들 중 적어도 하나는 제 1 항에 기재된 기계적 인덱서를 포함하는, 기판 프로세싱 툴.
  14. 제 13 항에 있어서,
    상기 복수의 프로세스 모듈들은 상기 진공 이송 모듈의 제 1 측면에 커플링된 제 1 프로세스 모듈 및 제 2 프로세스 모듈 및 상기 진공 이송 모듈의 제 2 측면에 커플링된 제 3 프로세스 모듈 및 제 4 프로세스 모듈을 포함하는, 기판 프로세싱 툴.
  15. 제 14 항에 있어서,
    (i) 상기 제 1 측면과 (ii) 상기 제 1 프로세스 모듈 및 상기 제 2 프로세스 모듈 사이에 배치된 어댑터 플레이트를 더 포함하고,
    상기 어댑터 플레이트는 상기 진공 이송 모듈의 상기 제 1 측면과 인터페이싱하도록 구성된 평면형 측면 및 상기 제 1 프로세스 모듈 및 상기 제 2 프로세스 모듈과 인터페이싱하도록 구성된 기울어진 측면을 포함하는, 기판 프로세싱 툴.
  16. 제 14 항에 있어서,
    상기 진공 이송 모듈의 상기 제 1 측면 및 상기 제 2 측면은 챔퍼되는, 기판 프로세싱 툴.
  17. 제 16 항에 있어서,
    (i) 상기 제 1 측면과 (ii) 상기 제 1 프로세스 모듈 및 상기 제 2 프로세스 모듈 사이에 배치된 어댑터 플레이트를 더 포함하고,
    상기 어댑터 플레이트는 상기 진공 이송 모듈의 상기 제 1 측면과 인터페이싱하도록 구성된 기울어진 측면 및 상기 제 1 프로세스 모듈 및 상기 제 2 프로세스 모듈과 인터페이싱하도록 구성된 평면형 측면을 포함하는, 기판 프로세싱 툴.
KR1020180006162A 2017-01-23 2018-01-17 최적화된 저 에너지/고 생산성 디포지션 시스템 KR102533126B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230061030A KR102656329B1 (ko) 2017-01-23 2023-05-11 최적화된 저 에너지/고 생산성 디포지션 시스템

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762449325P 2017-01-23 2017-01-23
US62/449,325 2017-01-23
US15/868,347 2018-01-11
US15/868,347 US11024531B2 (en) 2017-01-23 2018-01-11 Optimized low energy / high productivity deposition system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230061030A Division KR102656329B1 (ko) 2017-01-23 2023-05-11 최적화된 저 에너지/고 생산성 디포지션 시스템

Publications (2)

Publication Number Publication Date
KR20180087153A true KR20180087153A (ko) 2018-08-01
KR102533126B1 KR102533126B1 (ko) 2023-05-15

Family

ID=61131919

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020180006162A KR102533126B1 (ko) 2017-01-23 2018-01-17 최적화된 저 에너지/고 생산성 디포지션 시스템
KR1020230061030A KR102656329B1 (ko) 2017-01-23 2023-05-11 최적화된 저 에너지/고 생산성 디포지션 시스템

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230061030A KR102656329B1 (ko) 2017-01-23 2023-05-11 최적화된 저 에너지/고 생산성 디포지션 시스템

Country Status (7)

Country Link
US (2) US11024531B2 (ko)
EP (1) EP3352205B1 (ko)
JP (3) JP7394520B2 (ko)
KR (2) KR102533126B1 (ko)
CN (2) CN114551293A (ko)
SG (1) SG10201800524XA (ko)
TW (3) TW202344702A (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112594439A (zh) * 2020-09-29 2021-04-02 如皋市蓝鹰齿轮制造有限公司 一种便于拆装的多回转型阀门齿轮箱及其安装方法
KR20220089455A (ko) * 2020-12-21 2022-06-28 주식회사 테스 기판처리장치의 기판이송방법
KR20220088803A (ko) * 2020-06-25 2022-06-28 램 리써치 코포레이션 배면 (backside) 프로세싱을 위한 스테이션-가변 (station-varying) 지지 피처들 (support features) 을 갖는 멀티-스테이션 프로세싱 툴들
US11851760B2 (en) 2017-08-31 2023-12-26 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11946142B2 (en) 2019-08-16 2024-04-02 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11024531B2 (en) * 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
US20220172967A1 (en) * 2019-03-29 2022-06-02 Lam Research Corporation Wafer placement correction in indexed multi-station processing chambers
US10998209B2 (en) * 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US11883958B2 (en) 2019-06-07 2024-01-30 Applied Materials, Inc. Robot apparatus including dual end effectors with variable pitch and methods
JP2022543453A (ja) * 2019-08-08 2022-10-12 ラム リサーチ コーポレーション マルチステーション処理モジュールにおけるウエハ搬送のためのスピンドルアセンブリ
JP2021154444A (ja) * 2020-03-27 2021-10-07 川崎重工業株式会社 ロボットシステム及びその制御方法
CN114695216A (zh) * 2020-12-31 2022-07-01 拓荆科技股份有限公司 传送晶圆的方法和机械手臂
CN115943485A (zh) * 2021-04-27 2023-04-07 朗姆研究公司 具有晶片定心功能的旋转转位器

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11163075A (ja) * 1997-12-01 1999-06-18 Hitachi Ltd 半導体装置の製造方法および半導体製造装置
JP2002076091A (ja) * 2000-08-24 2002-03-15 Anelva Corp 基板処理装置
US20040013497A1 (en) * 1998-12-01 2004-01-22 Hidenobu Shirai Semiconductor transfer and manufacturing apparatus
KR20070108004A (ko) * 2006-05-04 2007-11-08 위순임 기판 지지대와 기판 반송 장치 및 이를 이용한 기판 처리시스템
US20080219806A1 (en) * 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100291971B1 (ko) 1993-10-26 2001-10-24 야마자끼 순페이 기판처리장치및방법과박막반도체디바이스제조방법
US6737826B2 (en) 2001-07-13 2004-05-18 Brooks Automation, Inc. Substrate transport apparatus with multiple independent end effectors
US6949177B2 (en) * 2001-08-16 2005-09-27 Oriol Inc. System and method for processing semiconductor wafers using different wafer processes
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
TWI476855B (zh) 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
KR100803559B1 (ko) 2007-05-02 2008-02-15 피에스케이 주식회사 기판 반송 유닛 및 방법, 그리고 상기 유닛을 가지는 기판처리 장치 및 상기 유닛을 이용한 기판 처리 방법
US20080175694A1 (en) 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
US20080178694A1 (en) * 2007-01-25 2008-07-31 Barford Lee A Dynamic environment measurements
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
US8777547B2 (en) 2009-01-11 2014-07-15 Applied Materials, Inc. Systems, apparatus and methods for transporting substrates
JP2011199121A (ja) * 2010-03-23 2011-10-06 Ulvac Japan Ltd 搬送装置
CN201901699U (zh) 2010-09-17 2011-07-20 中微半导体设备(上海)有限公司 自动化基片传输和原位基片测试的mocvd处理系统
US9177842B2 (en) 2011-08-10 2015-11-03 Applied Materials, Inc. Degassing apparatus adapted to process substrates in multiple tiers with second actuator
US9202733B2 (en) 2011-11-07 2015-12-01 Persimmon Technologies Corporation Robot system with independent arms
JP6295037B2 (ja) * 2013-08-08 2018-03-14 日本電産サンキョー株式会社 産業用ロボット
CN105706227B (zh) 2013-11-04 2019-11-26 应用材料公司 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法
US9916995B2 (en) * 2014-02-24 2018-03-13 Lam Research Corporation Compact substrate processing tool with multi-station processing and pre-processing and/or post-processing stations
JP6271322B2 (ja) * 2014-03-31 2018-01-31 東京エレクトロン株式会社 基板処理システム
CN104120389B (zh) 2014-08-04 2016-08-24 上海和辉光电有限公司 镀膜设备
CN104846337A (zh) 2015-04-30 2015-08-19 北京欣奕华科技有限公司 一种蒸镀设备及蒸镀生产线
US11024531B2 (en) * 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11163075A (ja) * 1997-12-01 1999-06-18 Hitachi Ltd 半導体装置の製造方法および半導体製造装置
US20040013497A1 (en) * 1998-12-01 2004-01-22 Hidenobu Shirai Semiconductor transfer and manufacturing apparatus
JP2002076091A (ja) * 2000-08-24 2002-03-15 Anelva Corp 基板処理装置
KR20070108004A (ko) * 2006-05-04 2007-11-08 위순임 기판 지지대와 기판 반송 장치 및 이를 이용한 기판 처리시스템
US20080219806A1 (en) * 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11851760B2 (en) 2017-08-31 2023-12-26 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11946142B2 (en) 2019-08-16 2024-04-02 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow
KR20220088803A (ko) * 2020-06-25 2022-06-28 램 리써치 코포레이션 배면 (backside) 프로세싱을 위한 스테이션-가변 (station-varying) 지지 피처들 (support features) 을 갖는 멀티-스테이션 프로세싱 툴들
CN112594439A (zh) * 2020-09-29 2021-04-02 如皋市蓝鹰齿轮制造有限公司 一种便于拆装的多回转型阀门齿轮箱及其安装方法
KR20220089455A (ko) * 2020-12-21 2022-06-28 주식회사 테스 기판처리장치의 기판이송방법

Also Published As

Publication number Publication date
CN108374157B (zh) 2022-01-21
JP2022191406A (ja) 2022-12-27
JP7394520B2 (ja) 2023-12-08
TWI792531B (zh) 2023-02-11
US20210320029A1 (en) 2021-10-14
CN108374157A (zh) 2018-08-07
TW202146683A (zh) 2021-12-16
JP2018139287A (ja) 2018-09-06
KR20230073156A (ko) 2023-05-25
SG10201800524XA (en) 2018-08-30
TW201840880A (zh) 2018-11-16
KR102533126B1 (ko) 2023-05-15
EP3352205B1 (en) 2021-10-13
TW202344702A (zh) 2023-11-16
EP3352205A1 (en) 2018-07-25
CN114551293A (zh) 2022-05-27
JP7440592B2 (ja) 2024-02-28
US11024531B2 (en) 2021-06-01
TWI741133B (zh) 2021-10-01
JP2024056883A (ja) 2024-04-23
US20180211864A1 (en) 2018-07-26
KR102656329B1 (ko) 2024-04-09

Similar Documents

Publication Publication Date Title
KR102656329B1 (ko) 최적화된 저 에너지/고 생산성 디포지션 시스템
US9818633B2 (en) Equipment front end module for transferring wafers and method of transferring wafers
US11908714B2 (en) Transfer robot for reduced footprint platform architecture
US10559483B2 (en) Platform architecture to improve system productivity
US10707113B2 (en) End effector assembly for clean/dirty substrate handling
TW202201463A (zh) 基板處理工具的線性配置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant