JP7394520B2 - 最適化された低エネルギ/高生産性の蒸着システム - Google Patents

最適化された低エネルギ/高生産性の蒸着システム Download PDF

Info

Publication number
JP7394520B2
JP7394520B2 JP2018006824A JP2018006824A JP7394520B2 JP 7394520 B2 JP7394520 B2 JP 7394520B2 JP 2018006824 A JP2018006824 A JP 2018006824A JP 2018006824 A JP2018006824 A JP 2018006824A JP 7394520 B2 JP7394520 B2 JP 7394520B2
Authority
JP
Japan
Prior art keywords
processing
arm
end effector
station
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018006824A
Other languages
English (en)
Other versions
JP2018139287A5 (ja
JP2018139287A (ja
Inventor
マイケル・ノーディン
カール・リーサー
リチャード・ブランク
ロバート・スカラック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2018139287A publication Critical patent/JP2018139287A/ja
Publication of JP2018139287A5 publication Critical patent/JP2018139287A5/ja
Priority to JP2022165116A priority Critical patent/JP7440592B2/ja
Application granted granted Critical
Publication of JP7394520B2 publication Critical patent/JP7394520B2/ja
Priority to JP2024020731A priority patent/JP2024056883A/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Description

関連出願への相互参照
本願は、2017年1月23日出願の米国仮出願第62/449,325号の利益を主張する。上記の出願の開示全体が、参照によって本明細書に組み込まれる。
本開示は、基板処理システム処理モジュール内での基板の移送に関する。
本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示するためのものである。ここに名を挙げられている発明者の業績は、この背景技術に記載された範囲において、出願時に従来技術として通常見なされえない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。
半導体ウエハなどの基板の蒸着、エッチング、および/または、その他の処理を実行するために、基板処理システムが利用されうる。処理中、基板処理システムの処理チャンバ内で基板支持体上に基板が配置される。1または複数の前駆体を含むガス混合物が、処理チャンバに導入され、プラズマが、化学反応を活性化するために点火されうる。基板処理システムは、製造室内に配置された複数の基板処理ツールを含みうる。基板処理ツールの各々は、複数の処理モジュールを備えうる。
ここで、図1を参照すると、基板処理ツール100の一例の上面図が示されている。基板処理ツール100は、複数の処理モジュール104を備えうる。処理モジュール104の各々は、基板上に対して1または複数のそれぞれの処理を実行するように構成されうる。処理される基板は、装置フロントエンドモジュール(EFEM)108のロードステーションのポートを介して基板処理ツール100内にロードされ、その後、処理モジュール104の内の1または複数に移送される。例えば、基板は、EFEM108から1または複数のEFEMロボット116を介してロードロック112に移送されてよい。真空移送モジュール(VTM:vacuum transfer module)120が、処理モジュール104へ基板を出し入れするように構成された1または複数のVTMロボット124を備える。例えば、基板は、処理モジュール104の各々に連続してロードされうる。
一例では、処理モジュール104は、4ステーション処理モジュール(QSM:quad station process module)に対応する。QSMは、単一チャンバ内(すなわち、処理モジュール104の処理チャンバ132内)に4つの処理ステーション128を備えうる。基板136は、ロードステーション140を介して処理モジュール104内にロードされる。例えば、基板136は、VTM120と処理モジュール104との間のそれぞれのスロット144を介して、VTM120とロードステーション140との間で移送される。機械式インデクサ148(すなわち、インデクシングメカニズム)が、複数の処理ステーション128の間で基板136を逐次回転させる。図に示すように、機械式インデクサ148は、十字形のスピンドルに対応する。例えば、基板136は、VTM120からロードステーション140(ラベル「1」)に対応する処理ステーション128へ移送され、ラベル「2」、「3」、および、「4」の処理ステーション140の間で逐次回転され、その後、処理モジュール104から取り出すためにロードステーション140に戻されうる。システムコントローラ152が、ロボット116および124の動作、インデクサ148の回転などを含むがこれらに限定されないツールの様々な動作を制御しうる。
基板処理ツールのための機械式インデクサが、第1および第2エンドエフェクタを各々が有する第1および第2アームを備える。第1アームは、第1アームの第1エンドエフェクタを基板処理ツールの複数の処理ステーションに選択的に配置すると共に、第1アームの第2エンドエフェクタを基板処理ツールの複数の処理ステーションに選択的に配置するために、第1スピンドル上で回転するように構成されている。第2アームは、第2アームの第1エンドエフェクタを基板処理ツールの複数の処理ステーションに選択的に配置すると共に、第2アームの第2エンドエフェクタを基板処理ツールの複数の処理ステーションに選択的に配置するために、第2スピンドル上で回転するように構成されている。複数の処理ステーションの少なくとも1つは、基板処理ツールのロードステーションに対応する。第1アームは、第1アームの第1エンドエフェクタまたは第2エンドエフェクタがロードステーションに配置されると同時に、第2アームの第1エンドエフェクタまたは第2エンドエフェクタがロードステーションに配置されるように、第2アームと独立して回転するように構成されている。
別の特徴において、第1スピンドルおよび第2スピンドルは同軸である。第1アームおよび第2アームの各々は、基板処理ツールの複数の処理ステーションに対して上下されるように構成されている。第2スピンドルは、第1スピンドル内に配置される。
別の特徴において、第1アームおよび第2アームは、第1構成になるように回転可能である。第1構成では、第1アームの第1エンドエフェクタおよび第2エンドエフェクタが、複数の処理ステーションの内の第1処理ステーションおよび第3処理ステーションにそれぞれ配置され、第2アームの第1エンドエフェクタおよび第2エンドエフェクタが、複数の処理ステーションの内の第2処理ステーションおよび第4処理ステーションにそれぞれ配置される。第1アームおよび第2アームは、第2構成になるように回転可能である。第2構成では、第1アームの第1エンドエフェクタおよび第2エンドエフェクタが、複数の処理ステーションの内の第1処理ステーションおよび第3処理ステーションにそれぞれ配置され、第2アームの第1エンドエフェクタおよび第2エンドエフェクタが、複数の処理ステーションの内の第3処理ステーションおよび第1処理ステーションにそれぞれ配置される。
別の特徴において、第1処理ステーションは、基板処理ツールのロードステーションに対応する。第1処理ステーションおよび第3処理ステーションは、基板処理ツールの反対側の角に配置され、第2処理ステーションおよび第4処理ステーションは、基板処理ツールの反対側の角に配置される。
別の特徴において、第1アームおよび第2アームは、第1構成になるように回転可能である。第1構成では、第1アームの第1エンドエフェクタおよび第2エンドエフェクタが、複数の処理ステーションの内の第1処理ステーションおよび第4処理ステーションにそれぞれ配置され、第2アームの第1エンドエフェクタおよび第2エンドエフェクタが、複数の処理ステーションの内の第2処理ステーションおよび第3処理ステーションにそれぞれ配置される。第1アームおよび第2アームは、第2構成になるように回転可能である。第2構成では、第1アームの第1エンドエフェクタおよび第2エンドエフェクタが、複数の処理ステーションの内の第1処理ステーションおよび第4処理ステーションにそれぞれ配置され、第2アームの第1エンドエフェクタおよび第2エンドエフェクタが、複数の処理ステーションの内の第4処理ステーションおよび第1処理ステーションにそれぞれ配置される。
別の特徴において、第1処理ステーションおよび第4処理ステーションは、基板処理ツールの第1側面に配置され、第2処理ステーションおよび第3処理ステーションは、第1側面と反対側にある基板処理ツールの第2側面に配置される。第1処理ステーションおよび第4処理ステーションは、基板処理ツールのロードステーションに対応する。
別の特徴において、基板処理ツールが、真空移送モジュールと、真空移送モジュールに接続された複数の処理モジュールと、を備える。複数の処理モジュールの少なくとも1つは、機械式インデクサを備える。複数の処理モジュールは、真空移送モジュールの第1側面に接続された第1および第2処理モジュールと、真空移送モジュールの第2側面に接続された第3および第4処理モジュールと、を含む。
別の特徴において、アダプタプレートが、第1側面と、第1および第2処理モジュールとの間に配置される。アダプタプレートは、真空移送モジュールの第1側面と接続するように構成された平坦な側面と、第1および第2処理モジュールと接続するように構成された角度付きの側面とを備える。
別の特徴において、真空移送モジュールの第1側面および第2側面は面取りされている。アダプタプレートが、第1側面と、第1および第2処理モジュールとの間に配置される。アダプタプレートは、真空移送モジュールの第1側面と接続するように構成された角度付きの側面と、第1および第2処理モジュールと接続するように構成された平坦な側面とを備える。
詳細な説明、特許請求の範囲、および、図面から、本開示を適用可能なさらなる領域が明らかになる。詳細な説明および具体的な例は、単に例示を目的としており、本開示の範囲を限定するものではない。
本開示は、詳細な説明および以下に説明する添付図面から、より十分に理解できる。
基板処理ツールの一例を示す図。
X字形構成の機械式インデクサを備えた処理モジュールの第1例を示す図。
第2構成の機械式インデクサを備えた処理モジュールの第1例を示す図。
処理モジュールの第1例を示す側面図。
機械式インデクサを示す側面図。
X字形構成の機械式インデクサを備えた処理モジュールの第2例を示す図。
第2構成の機械式インデクサを備えた処理モジュールの第2例を示す図。
処理モジュールの第2例を示す側面図。
X字形構成の機械式インデクサを示す図。
第2構成の機械式インデクサを示す図。
基板処理ツールの第1例を示す図。
基板処理ツールの第2例を示す図。
移送ロボットの一例を示す図。
基板処理ツールのためのアダプタプレートの一例を示す図。
基板処理ツールの第3例を示す図。
基板処理ツールの機械式インデクサを動作させるための方法の第1例の工程を示す図。
基板処理ツールの機械式インデクサを動作させるための方法の第2例の工程を示す図。
図面において、同様および/または同一の要素を特定するために、同じ符号を用いる場合がある。
基板処理ツール内の処理モジュールが、マルチステーション逐次処理モードで動作されてよい。例えば、処理全体の一部のみが、処理モジュール内の複数の処理ステーションの各々において基板に実行されてよい。ステーションの各々における処理時間が短くなるにつれ、および/または、処理モジュールが基板に実行する処理の回数が多くなるにつれ、各基板が処理モジュール内に滞在する総時間の中で、機械式インデクサによる基板の回転および移送に関連する遅延が占める部分大きくなる。一例では、複数の基板が、ロードステーションに対応する処理ステーションに逐次移送される。インデクサは、インデクサ上で4つの処理ステーションの各々に基板が配置されるまで、各移送後に回転される。次いで、処理が、基板の各々に実行されてよい。
本開示の原理に従った基板処理/移送システムおよび方法は、基板移送時間を削減するように構成された移送モジュール(例えば、真空移送モジュールすなわちVTM)、処理モジュール、および、機械式インデクサを実施する。例えば、VTMは、2以上(例えば、4)の基板を処理モジュールへロードし、移送ごとに処理モジュールから2以上の基板を回収するように構成される。
一例において、機械式インデクサは、2つの独立的に回転可能なアームを備えており、各アームは、第1および第2端部(例えば、エンドエフェクタ)を有する。インデクサは、選択的に、X字形の第1構成で配置されてよい。X字形構成では、端部の各々は、処理モジュール内のそれぞれの処理ステーションと整列されてよい。例えば、第1アームの第1および第2端部は、対角線上で向かい合った処理ステーション1および3(もしくは、2および4)と整列されてよく、第2アームの第1および第2端部は、対角線上で向かい合った処理ステーション2および4(もしくは、1および3)と整列されてよい。第2構成では、第1アームおよび第2アームが整列するように、アームの一方が持ち上げられて回転される。第2構成において、アームの各々の第1および第2端部は、ステーション1および3もしくは2および4と整列される。換言すると、第2構成では、両方のアームのそれぞれの端部が、処理ステーションの内の任意の1つで垂直に積み重ねられてよい。特に、両方のアームのそれぞれの端部は、ロードステーションと整列されてよい。
したがって、この例では、2つの基板が、(例えば、同時に2つの基板を移送するように構成された垂直積層エンドエフェクタを有するVTMロボットを用いて)、処理モジュールへおよび/または処理モジュールから移送されてよい。処理モジュールへおよび/または処理モジュールから2つのさらなる基板を移送するために、アームの各々の反対側の端部がロードステーションと整列されるように、両方のアームが回転されてよい。次いで、機械式インデクサは、4つの基板の各々が、異なる処理ステーションに整列されるように、X字形の第1構成で配置されてよい。
別の例において、処理モジュールは、2つのロードステーションを備えてもよい。例えば、ロードステーションは、VTMに隣接する処理ステーションに対応してよい。この例において、機械式インデクサは、第1および第2V字形アームを備える。インデクサは、第1X字形構成で配置されてよい。X字形構成では、第1V字形アームの第1および第2端部は、処理ステーション1および4(もしくは、処理ステーション2および1、3および2、もしくは、4および3)と整列されてよく、第2V字形アームの第1および第2端部は、処理ステーション2および3(もしくは、処理ステーション3および4、4および1、もしくは、1および2)と整列されてよい。第2構成では、第1アームおよび第2アームが整列するように、アームの一方が持ち上げられて回転される。第2構成において、アームの各々の第1および第2端部は、例えば、ステーション1および4と整列され、それらのステーションは、ロードステーションに対応してよい。換言すると、第2構成では、両方のアームのそれぞれの端部が、ロードステーション内で垂直に積み重ねられてよい。
したがって、この例では、4つの基板が、(例えば、同時に2つの基板を移送するように構成された垂直積層エンドエフェクタをそれぞれ有する2つのVTMロボットを用いて)、処理モジュールへおよび/または処理モジュールから移送されてよい。次いで、機械式インデクサは、4つの基板の各々が、異なる処理ステーションに整列されるように、X字形の第1構成で配置されてよい。
後に詳述するように、本開示に従った基板処理/移送システムおよび方法によれば、エネルギ消費を削減すること、基板処理に関連するオーバヘッド時間を削減すること、処理スループットを改善すること、ツールあたりの処理モジュール数を増やすこと、などが可能である。4つの処理ステーションを有する処理モジュールに関して記載しているが、本開示の原理は、その他の数(例えば、2、3、5、6、7、8など)の処理ステーションを有する処理モジュールで実施されてもよい。
ここで、図2A、図2B、図2C、および、図2Dを参照すると、本開示の原理に従った機械式インデクサ204を備えた処理モジュール200の一例が示されている。この例において、機械式インデクサ204は、2つの独立的に回転可能なアーム208および212を備えており、各アームは、第1および第2端部(例えば、エンドエフェクタ216、220、224、および、228)を有する。インデクサ204は、図2Aの第1X字形構成および図2Bの第2構成で配置される。X字形構成では、第1アーム208のエンドエフェクタ216および220が、処理ステーション1および3の上にそれぞれ配置され、エンドエフェクタ224および228が、処理ステーション2および4の上にそれぞれ配置される。処理ステーション1は、スロット236を介してアクセス可能なロードステーション232に対応又は連絡しうる。
第2構成では、第2アーム212は、第1アーム208および第2アーム212が整列するように、持ち上げられて回転されてよい。例えば、図2Dに示すように、第1アーム208は、第1スピンドル240に結合されてよく、第2アーム212は、第2スピンドル244に結合されてよい。第2スピンドル244は、第1スピンドル240内に収容され、第1スピンドル240の内部で選択的に上下されるように構成されている。したがって、第2スピンドル244を上げると、第2アーム212が第1アーム208に対して持ち上がり、第2アーム212が第1アーム208から独立して回転されることが可能になる。このように、エンドエフェクタ216、220、224、および、228、ならびに、それぞれの基板248は、ロードステーション232の中、または、処理ステーション1~4の任意の1つの中で、互いの上/下に配置されうる。
例えば、第2アーム212は、第1アーム208および第2アーム212が図2Bに示した第2構成で配置されるように回転されてよい。第2構成では、エンドエフェクタ216および228は各々、ロードステーション232内に配置される。 換言すると、第2構成において、エンドエフェクタ216および228は、ロードステーション232内で垂直に積み重ねられる。この時、エンドエフェクタ216および228上に配置された基板248が、処理モジュール200から回収されてよい、および/または、新しい(すなわち、未処理の)基板が、スロット236を介してエンドエフェクタ216および228上にロードされてよい。
移送シーケンスの一例では、第1アーム208および第2アーム212の各々が、それぞれの処理ステーション1~4から基板248を持ち上げるために、第1高さまで上げられる。例えば、エンドエフェクタ216、220、224、および、228は、それぞれ、処理ステーション1、2、3、および、4に配置されてよい。第2アーム212は、第1高さよりも高い第2高さまでさらに上げられてよい。そこで、第2アーム212は、エンドエフェクタ228が処理ステーション1(すなわち、ロードステーション332)に位置するように回転されてよい(例えば、図2Bに示すように時計回り方向に約90°)。次いで、処理モジュール200の外部のVTMロボットが、エンドエフェクタ216および228の各々の上に配置された基板248を回収してよい。いくつかの例において、VTMロボットは、処理された基板248を未処理の基板と交換する。
基板248のアンロードおよび/またはエンドエフェクタ216および228上への未処理基板のロードに続いて、第1アーム208および第2アーム212のそれぞれの第1および第2高さを維持しつつ、インデクサ204全体(すなわち、第1アーム208および第2アーム212の両方)が約180°回転されてよい。したがって、インデクサ204は、エンドエフェクタ220および224がロードステーション232に位置するように回転される。次いで、VTMロボットは、エンドエフェクタ220および224から処理済みの基板248を回収してよい、および/または、エンドエフェクタ220および224上へ未処理の基板をロードしてよい。次いで、第2アーム212は、エンドエフェクタ216および220がそれぞれ処理ステーション3および1に残った状態で、エンドエフェクタ224および228をそれぞれ処理ステーション2および4に配置するために、アーム208に対して(例えば、時計回り方向に約90°)回転されてよい。次いで、第1アーム208および第2アーム212の各々は、それぞれの処理ステーション1~4に未処理の基板を配置するために下げられてよい。その他の移送シーケンス例が、実施されてもよい。
ここで、図3A、図3B、図3C、図3D、および、図3Eを参照すると、本開示の原理に従った機械式インデクサ304を備えた処理モジュールの別の例300が示されている。一例において、処理モジュール300は、2つのロードステーション308および312と、対応するスロット316および320と、を備える。インデクサ304は、第1および第2V字形アーム324および328を備えており、各アームは、第1および第2端部(例えば、エンドエフェクタ332、336、340、および、344)を有する。インデクサ304は、図3Aおよび図3Dの第1X字形構成ならびに図3Bおよび図3Eの第2構成で配置される。X字形構成では、エンドエフェクタ332および336が、処理ステーション1および4の上にそれぞれ配置され、エンドエフェクタ340および344が、処理ステーション2および3の上にそれぞれ配置される。処理ステーション1および4は、ロードステーション308および320にそれぞれ対応又は連絡する。
第2構成では、第2アーム328は、第1アーム324および第2アーム328が整列するように、持ち上げられて回転されてよい。例えば、第1アーム324および第2アーム328は、図2Dで説明したように、第1および第2スピンドル240および244と同様に動作するように構成された独立的に回転可能なスピンドル348および352に結合されてよい。したがって、第2アーム328は、第1アーム324および第2アーム328が図3Bに示した第2構成で配置されるように回転されてよい。第2構成において、エンドエフェクタ332および344は各々、ロードステーション308に配置され、エンドエフェクタ336および340は各々、ロードステーション312に配置される。例えば、エンドエフェクタ332および344、ならびに、それらの上に配置された対応する基板356は、ロードステーション308内で垂直に積み重ねられる。逆に、エンドエフェクタ336および340、ならびに、それらの上に配置された対応する基板356は、ロードステーション312内で垂直に積み重ねられる。したがって、基板356が、処理モジュール300から回収されてよい、および/または、新しい(すなわち、未処理の)基板が、それぞれ、スロット316および320を介してエンドエフェクタ332、344、および、336、340上にロードされてよい。
移送シーケンスの一例では、第1アーム324および第2アーム328の各々が、それぞれの処理ステーション1~4から基板356を持ち上げるために、第1高さまで上げられる。例えば、エンドエフェクタ332、340、344、および、336は、それぞれ、処理ステーション1、2、3、および、4に配置されてよい。第2アーム328は、第1高さよりも高い第2高さまでさらに上げられてよい。そこで、第2アーム328は、エンドエフェクタ344および340がそれぞれ処理ステーション1および2(すなわち、ロードステーション308および312)に位置するように回転されてよい(例えば、図3Bに示すように約180°)。次いで、処理モジュール300の外部のVTMロボットが、エンドエフェクタ332、340、344、および、336の各々の上に配置された基板356を回収してよい。いくつかの例において、VTMロボットは、処理された基板356を未処理の基板と交換する。
基板356のアンロードおよび/またはエンドエフェクタ332、340、344、および、336上への未処理基板のロードに続いて、第1アーム324および第2アーム328のそれぞれの第1および第2高さを維持しつつ、第2アーム328が、インデクサ304をX字形構成に戻すために約180°回転される。したがって、エンドエフェクタ332、340、344、および、336は、それぞれ、ステーション1、2、3、および、4に配置される。次いで、第1アーム324および第2アーム328は、それぞれの処理ステーション1~4の上に降ろされてよい。その他の移送シーケンス例が、実施されてもよい。
ここで、図4A、図4B、および、図4Cを参照すると、移送ロボットの例408-1、408-2、および、408-3(集合的に、移送ロボット408と呼ぶ)を有する基板処理ツールの例400および404の上面図が示されている。処理ツール400および404は、例示の目的で、機械式インデクサなしで図示されている。例えば、ツール400および404の各々のそれぞれの処理モジュール412が、上述のように、機械式インデクサ204および機械式インデクサ304のいずれかを備えてよい。
真空移送モジュール(VTM)416および装置フロントエンドモジュール(EFEM)420が各々、移送ロボット408の1つを備えてよい。移送ロボット408-1および408-2は、同じ構成または異なる構成を有してよい。単に例として、移送ロボット408-1は、2つの垂直に積み重ねられたエンドエフェクタを有する単一のアームを備える。逆に、移送ロボット408-2は、2つのアームを有することが図示されており、各アームは、図4Cに示すように、2つの垂直に積み重ねられたエンドエフェクタを有する。VTM416のロボット408は、ロードロック424へおよびロードロック424から、ならびに、処理モジュール412の間で、基板を選択的に移送する。EFEM420のロボット408-3は、EFEM420の内外へ、ならびに、ロードロック424へおよびロードロック424から、基板を移送する。単に例として、ロボット408-3は、単一のエンドエフェクタまたは2つの垂直に積み重ねられたエンドエフェクタを各々が有する2つのアームを備えてよい。
ツール400は、例えば、それぞれのスロット428を介してアクセス可能な単一のロードステーションを各々が有する4つの処理モジュール412と相互作用するように構成される。逆に、ツール404は、それぞれのスロット432および436を介してアクセス可能な2つのロードステーションを各々が有する3つの処理モジュール412と相互作用するように構成される。図に示すように、VTM416の側面440は、異なる構成(例えば、異なる数、間隔、など)の処理モジュール412との結合を容易にするために角度を付けられてよい(例えば、面取りされてよい)。
例えば、図4Aに示すように、VTMは、側面440あたり2つの処理モジュール412に結合される。逆に、VTM416の形状は、2つのロードステーションを有する処理モジュール412の接続も可能にする。例えば、2つのスロット432および436を有するアダプタプレート444が、図4Bに示すように、2つのロードステーションを有する単一の処理モジュール412を収容するために提供されてよい。図に示すように、アダプタプレート444は、VTM416の角度の付いた側面440と接続するように構成された角度のついた第1側面と、処理モジュール412と接続するように構成された角度のない(すなわち、まっすぐまたは平坦な)第2側面と、を有する。したがって、VTM416は、単一のロードステーションを有するより多くの数の処理モジュール412の接続を可能にする(すなわち、ツール400の単位面積あたりの処理ステーションの数を増やすための)柔軟性を提供し、同時に、図4Aに示したような1つだけのロードステーションまたは図4Bに示したような2つのロードステーションを有する処理モジュール412を用いる柔軟性も与える。他の例において、VTM416の側面は、角度が付いていなくてもよい(すなわち、まっすぐまたは平坦でもよい)。これらの例において、ツール400は、単一のロードステーションを各々が有する2つの処理モジュール412と接続するように構成された図4Dに示すようなアダプタプレート446を備えてよい。換言すると、VTM416の角度の付いた側面440を角度なしの側面に変換する代わりに、アダプタプレート446は、VTM416の角度なしの側面を角度の付いた側面に変換する。
VTM416のロボット408-2は、合計4つのエンドエフェクタ456の内、2つの垂直に積み重ねられたエンドエフェクタ456を各々が備える2つのアーム448および452を備える。したがって、アーム448および452の各々は、処理モジュール412の内のそれぞれの1つ、ロードロック424などへ、および/または、そこから、2つの基板を同時に移送するように構成される。図4Aに示した例において、ロボット408-1は、所与の移送において、2つの基板を処理モジュール412から回収し、2つの基板を処理モジュール412へロードしてよい。逆に、ロボット408-2は、所与の移送において、4つの基板を処理モジュール412から回収し、4つの基板を処理モジュール412へロードしてよい。
システムコントローラ460が、ロボット408の動作、(例えば、図2および図3のインデクサ204および304に対応する)処理モジュール412のそれぞれのインデクサの回転、などを含むがこれらに限定されない、基板処理ツール400および404の様々な動作を制御してよい。
図4Eに示す別の例では、基板処理ツール464が、移送ロボット468-1および468-2(集合的に、移送ロボット468と呼ぶ)を備える。処理ツール464は、例示の目的で、機械式インデクサなしで図示されている。例えば、ツール464のそれぞれの処理モジュール472が、上述のように、機械式インデクサ204および機械式インデクサ304のいずれかを備えてよい。
VTM476およびEFEM480が各々、移送ロボット468の1つを備えてよい。移送ロボット468-1および468-2は、同じ構成または異なる構成を有してよい。単に例として、移送ロボット468-1は、2つのアームを有することが図示されており、各アームは、図4Cに示すように、2つの垂直に積み重ねられたエンドエフェクタを有する。VTM476のロボット468-1は、EFEM480へおよびEFEM480から、ならびに、処理モジュール472の間で、基板を選択的に移送する。EFEM480のロボット468-2は、EFEM480の内外へ、基板を移送する。単に例として、ロボット468-2は、単一のエンドエフェクタまたは2つの垂直に積み重ねられたエンドエフェクタを各々が有する2つのアームを備えてよい。
ツール464は、例えば、それぞれのスロット484を介してアクセス可能な単一のロードステーションを各々が有する4つの処理モジュール472と接続するように構成される。この例において、VTM476の側面488は、角度が付いていない(すなわち、側面488は、実質的にまっすぐまたは平面である)。このように、単一のロードステーションを各々が有する処理モジュール472の内の2つが、VTM476の側面488の各々に結合されてよい。したがって、EFEM480は、ツール464のフットプリントを削減するために、少なくとも部分的に処理モジュール472の内の2つの間に配置されてよい。
ここで、図5を参照すると、基板処理ツールの機械式インデクサを動作させるための方法500の第1例が、工程504で始まる(例えば、図2A、図2B、図2C、および、図2Dに示した機械式インデクサ204)。単に例として、機械式インデクサの動作は、システムコントローラ460などのコントローラによって制御されてよい。工程508で、機械式インデクサは、第1X字形構成に配置され、ここで、第1アームの第1および第2端部は、第1および第3処理ステーションに配置され、第2アームの第1および第2端部は、第2および第4処理ステーションに配置される(例えば、図2Aに示したように)。第1および第2アームの端部の各々は、それぞれの処理済み基板を回収するように配置されてよい。工程512で、第1アームおよび第2アームは、処理ステーションから基板を持ち上げるために、それぞれのスピンドル上で上昇される。工程516で、第2アームは、第2アームの第2端部が、ロードステーションに対応又は連絡してよい第1処理ステーションに配置されるように回転される(例えば、図2Bに示したように、時計回り方向に90°)。工程520で、ロボットが、第1処理ステーションに配置された第1アームの第1端部および第2アームの第2端部から処理済み基板を回収する。
工程524で、ロボットは、第1処理ステーションに配置された第1アームの第1端部および第2アームの第2端部へ未処理基板を移送する。工程528で、第1アームおよび第2アームは、第1アームの第2端部および第2アームの第1端部の各々が第1処理ステーションに配置されるように回転される(例えば、180°)。工程532で、ロボットは、第1アームの第1端部および第2アームの第2端部から処理済み基板を回収する。工程536で、ロボットは、第1処理ステーションに配置された第1アームの第2端部および第2アームの第1端部へ未処理基板を移送する。工程540で、第2アームは、第2アームの第1および第2端部が第2および第4処理ステーションに配置される(すなわち、機械式インデクサが第1X字形構成に戻される)ように回転される(例えば、時計回り方向に90°)。工程544で、第1および第2アームは、それぞれの処理ステーション上に未処理基板を配置するために降ろされる。方法500は、工程548で終了する。
ここで、図6を参照すると、基板処理ツールの機械式インデクサを動作させるための方法600の第2例が、工程604で始まる(例えば、図3A、図3B、図3C、図3D、および、図3Eに示した機械式インデクサ304)。単に例として、機械式インデクサの動作は、システムコントローラ460などのコントローラによって制御されてよい。工程608で、機械式インデクサは、第1X字形構成に配置され、ここで、第1アームの第1および第2端部は、第1および第4処理ステーションに配置され、第2アームの第1および第2端部は、第2および第3処理ステーションに配置される(例えば、図3Aに示したように)。第1および第2アームの端部の各々は、それぞれの処理済み基板を回収するように配置されてよい。工程612で、第1アームおよび第2アームは、処理ステーションから基板を持ち上げるために、それぞれのスピンドル上で上昇される。工程616で、第2アームは、第2アームの第1および第2端部が、ロードステーションに各々が対応又は連絡してよい第4および第1処理ステーションに配置されるように回転される(例えば、図3Bに示したように、時計回り方向に180°)。工程620で、1または複数のロボットが、第1および第4処理ステーションに配置された第1アームの第1および第2端部ならびに第2アームの第1および第2端部から処理済み基板を回収する。
工程624で、ロボットは、第1および第4処理ステーションに配置された第1アームの第1および第2端部ならびに第2アームの第1および第2端部に未処理基板を移送する。工程628で、第2アームは、第2アームの第1および第2端部が第2および第3処理ステーションに配置される(すなわち、機械式インデクサが第1X字形構成に戻される)ように回転される(例えば、180°)。工程632で、第1および第2アームは、それぞれの処理ステーション上に未処理基板を配置するために降ろされる。方法600は、工程636で終了する。
上述の記載は、本質的に例示に過ぎず、本開示、応用例、または、利用法を限定する意図はない。本開示の広範な教示は、様々な形態で実施されうる。したがって、本開示には特定の例が含まれるが、図面、明細書、および、以下の特許請求の範囲を研究すれば他の変形例が明らかになるため、本開示の真の範囲は、それらの例には限定されない。方法に含まれる1または複数の工程が、本開示の原理を改変することなく、異なる順序で(または同時に)実行されてもよいことを理解されたい。さらに、実施形態の各々は、特定の特徴を有するものとして記載されているが、本開示の任意の実施形態に関して記載された特徴の内の任意の1または複数の特徴を、他の実施形態のいずれかに実装することができる、および/または、組み合わせが明確に記載されていないとしても、他の実施形態のいずれかの特徴と組み合わせることができる。換言すると、上述の実施形態は互いに排他的ではなく、1または複数の実施形態を互いに置き換えることは本開示の範囲内にある。
要素の間(例えば、モジュールの間、回路要素の間、半導体層の間)の空間的関係および機能的関係性が、「接続される」、「係合される」、「結合される」、「隣接する」、「近接する」、「の上部に」、「上方に」、「下方に」、および、「配置される」など、様々な用語を用いて記載されている。第1および第2要素の間の関係性を本開示で記載する時に、「直接」であると明確に記載されていない限り、その関係性は、他に介在する要素が第1および第2の要素の間に存在しない直接的な関係性でありうるが、1または複数の介在する要素が第1および第2の要素の間に(空間的または機能的に)存在する間接的な関係性でもありうる。本明細書で用いられているように、「A、B、および、Cの少なくとも1つ」という表現は、非排他的な論理和ORを用いて、論理(AまたはBまたはC)を意味すると解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、および、Cの少なくとも1つ」という意味であると解釈されるべきではない。
いくつかの実施例において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
本開示は、以下の適用例としても実現可能である。
<適用例1>
基板処理ツールのための機械式インデクサであって、
第1エンドエフェクタおよび第2エンドエフェクタを有する第1アームであって、(i)前記第1アームの前記第1エンドエフェクタを前記基板処理ツールの複数の処理ステーションに選択的に配置すると共に、(ii)前記第1アームの前記第2エンドエフェクタを前記基板処理ツールの前記複数の処理ステーションに選択的に配置するために、第1スピンドル上で回転するように構成された、第1アームと、
第1エンドエフェクタおよび第2エンドエフェクタを有する第2アームであって、(i)前記第2アームの前記第1エンドエフェクタを前記基板処理ツールの前記複数の処理ステーションに選択的に配置すると共に、(ii)前記第2アームの前記第2エンドエフェクタを前記基板処理ツールの前記複数の処理ステーションに選択的に配置するために、第2スピンドル上で回転するように構成された、第2アームと、
を備え、
前記複数の処理ステーションの少なくとも1つは、前記基板処理ツールのロードステーションに対応し、
前記第1アームは、前記第1アームの前記第1エンドエフェクタまたは前記第2エンドエフェクタが前記ロードステーションに配置されると同時に、前記第2アームの前記第1エンドエフェクタまたは前記第2エンドエフェクタが前記ロードステーションに配置されるように、前記第2アームと独立して回転するように構成される、機械式インデクサ。
<適用例2>
適用例1に記載の機械式インデクサであって、前記第1スピンドルおよび前記第2スピンドルは同軸である、機械式インデクサ。
<適用例3>
適用例1に記載の機械式インデクサであって、前記第1アームおよび前記第2アームの各々は、前記基板処理ツールの前記複数の処理ステーションに対して上下されるように構成される、機械式インデクサ。
<適用例4>
適用例1に記載の機械式インデクサであって、前記第2スピンドルは、前記第1スピンドル内に配置される、機械式インデクサ。
<適用例5>
適用例1に記載の機械式インデクサであって、
前記第1アームおよび前記第2アームは、第1構成になるように回転可能であり、
前記第1構成では、(i)前記第1アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の第1処理ステーションおよび第3処理ステーションにそれぞれ配置され、(ii)前記第2アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の第2処理ステーションおよび第4処理ステーションにそれぞれ配置される、機械式インデクサ。
<適用例6>
適用例5に記載の機械式インデクサであって、
前記第1アームおよび前記第2アームは、第2構成になるように回転可能であり、
前記第2構成では、(i)前記第1アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の前記第1処理ステーションおよび前記第3処理ステーションにそれぞれ配置され、(ii)前記第2アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の前記第3処理ステーションおよび前記第1処理ステーションにそれぞれ配置される、機械式インデクサ。
<適用例7>
適用例6に記載の機械式インデクサであって、前記第1処理ステーションは、前記基板処理ツールの前記ロードステーションに対応する、機械式インデクサ。
<適用例8>
適用例6に記載の機械式インデクサであって、(i)前記第1処理ステーションおよび前記第3処理ステーションは、前記基板処理ツールの反対側の角に配置され、(ii)前記第2処理ステーションおよび前記第4処理ステーションは、前記基板処理ツールの反対側の角に配置される、機械式インデクサ。
<適用例9>
適用例1に記載の機械式インデクサであって、
前記第1アームおよび前記第2アームは、第1構成になるように回転可能であり、
前記第1構成では、(i)前記第1アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の第1処理ステーションおよび第4処理ステーションにそれぞれ配置され、(ii)前記第2アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の第2処理ステーションおよび第3処理ステーションにそれぞれ配置される、機械式インデクサ。
<適用例10>
適用例9に記載の機械式インデクサであって、
前記第1アームおよび前記第2アームは、第2構成になるように回転可能であり、
前記第2構成では、(i)前記第1アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の前記第1処理ステーションおよび前記第4処理ステーションにそれぞれ配置され、(ii)前記第2アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の前記第4処理ステーションおよび前記第1処理ステーションにそれぞれ配置される、機械式インデクサ。
<適用例11>
適用例10に記載の機械式インデクサであって、(i)前記第1処理ステーションおよび前記第4処理ステーションは、前記基板処理ツールの第1側面に配置され、(ii)前記第2処理ステーションおよび前記第3処理ステーションは、前記第1側面と反対側にある前記基板処理ツールの第2側面に配置される、機械式インデクサ。
<適用例12>
適用例10に記載の機械式インデクサであって、前記第1処理ステーションおよび前記第4処理ステーションは、前記基板処理ツールのロードステーションに対応する、機械式インデクサ。
<適用例13>
基板処理ツールであって、
真空移送モジュールと、
前記真空移送モジュールに接続された複数の処理モジュールであって、前記複数の処理モジュールの少なくとも1つは、適用例1の機械式インデクサを備える、複数の処理モジュールと、
を備える、基板処理ツール。
<適用例14>
適用例13に記載の基板処理ツールであって、前記複数の処理モジュールは、前記真空移送モジュールの第1側面に接続された第1および第2処理モジュールと、前記真空移送モジュールの第2側面に接続された第3および第4処理モジュールと、を含む、基板処理ツール。
<適用例15>
適用例14に記載の基板処理ツールであって、さらに、(i)前記第1側面と、(ii)前記第1および第2処理モジュールとの間に配置されたアダプタプレートを備え、
前記アダプタプレートは、前記真空移送モジュールの前記第1側面と接続するように構成された平坦な側面と、前記第1および第2処理モジュールと接続するように構成された角度付きの側面とを備える、基板処理ツール。
<適用例16>
適用例14に記載の基板処理ツールであって、前記真空移送モジュールの前記第1側面および前記第2側面は面取りされている、基板処理ツール。
<適用例17>
適用例16に記載の基板処理ツールであって、さらに、(i)前記第1側面と、(ii)前記第1および第2処理モジュールと、の間に配置されたアダプタプレートを備え、 前記アダプタプレートは、前記真空移送モジュールの前記第1側面と接続するように構成された角度付きの側面と、前記第1および第2処理モジュールと接続するように構成された平坦な側面とを備える、基板処理ツール。

Claims (18)

  1. 基板処理ツールであって、
    真空移送モジュールと、
    複数の処理ステーションを備える少なくとも1つの処理モジュールであって、前記少なくとも1つの処理モジュールは、前記真空移送モジュールに接続され、機械式インデクサを備える、少なくとも1つの処理モジュールと、
    を備え、
    前記機械式インデクサは、前記少なくとも1つの処理モジュールの内部および前記真空移送モジュールの外部に、
    第1エンドエフェクタおよび第2エンドエフェクタを有する第1アームであって、(i)前記第1アームの前記第1エンドエフェクタを前記少なくとも1つの処理モジュールの内部の前記複数の処理ステーションの各々に選択的に配置すると共に、(ii)前記第1アームの前記第2エンドエフェクタを前記少なくとも1つの処理モジュールの内部の前記複数の処理ステーションの各々に選択的に配置するために、前記少なくとも1つの処理モジュールの内部の第1スピンドル上で回転するように構成された、第1アームと、
    第1エンドエフェクタおよび第2エンドエフェクタを有する第2アームであって、(i)前記第2アームの前記第1エンドエフェクタを前記少なくとも1つの処理モジュールの内部の前記複数の処理ステーションの各々に選択的に配置すると共に、(ii)前記第2アームの前記第2エンドエフェクタを前記少なくとも1つの処理モジュールの内部の前記複数の処理ステーションの各々に選択的に配置するために、前記少なくとも1つの処理モジュールの内部の第2スピンドル上で回転するように構成された、第2アームと、
    を備え、
    前記複数の処理ステーションの少なくとも1つは、前記少なくとも1つの処理モジュールのロードステーションに対応し、前記ロードステーションは、前記少なくとも1つの処理モジュールと前記真空移送モジュールとの間における基板搬送を可能にするように構成されたスロットに隣接して設置されており、前記ロードステーションは、前記スロットを介して前記真空移送モジュールから基板を受け取るように構成され、
    前記第1アームは、前記第1アームの前記第1エンドエフェクタまたは前記第2エンドエフェクタの1つが前記ロードステーションに配置されると同時に、前記第2アームの前記第1エンドエフェクタまたは前記第2エンドエフェクタの1つが前記ロードステーションに配置されるように、前記第2アームと独立して回転するように構成され、
    前記機械式インデクサは、前記少なくとも1つの処理モジュールの内部において複数の基板を同時に搬送することにより、前記少なくとも1つの処理モジュールの処理スループットを増大させるように構成されている、
    基板処理ツール。
  2. 請求項1に記載の基板処理ツールであって、前記第1スピンドルおよび前記第2スピンドルは同軸である、基板処理ツール。
  3. 請求項1に記載の基板処理ツールであって、前記第1アームおよび前記第2アームの各々は、前記少なくとも1つの処理モジュールの前記複数の処理ステーションに対して上下されるように構成される、基板処理ツール。
  4. 請求項1に記載の基板処理ツールであって、前記第2スピンドルは、前記第1スピンドル内に配置される、基板処理ツール。
  5. 請求項1に記載の基板処理ツールであって、
    前記第1アームおよび前記第2アームは、第1構成になるように回転可能であり、
    前記第1構成では、(i)前記第1アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の第1処理ステーションおよび第3処理ステーションにそれぞれ配置され、(ii)前記第2アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の第2処理ステーションおよび第4処理ステーションにそれぞれ配置される、基板処理ツール。
  6. 請求項5に記載の基板処理ツールであって、
    前記第1アームおよび前記第2アームは、第2構成になるように回転可能であり、
    前記第2構成では、(i)前記第1アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の前記第1処理ステーションおよび前記第3処理ステーションにそれぞれ配置され、(ii)前記第2アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の前記第3処理ステーションおよび前記第1処理ステーションにそれぞれ配置される、基板処理ツール。
  7. 請求項6に記載の基板処理ツールであって、前記第1処理ステーションは、前記少なくとも1つの処理モジュールの前記ロードステーションに対応する、基板処理ツール。
  8. 請求項6に記載の基板処理ツールであって、(i)前記第1処理ステーションおよび前記第3処理ステーションは、前記少なくとも1つの処理モジュールの反対側の角に配置され、(ii)前記第2処理ステーションおよび前記第4処理ステーションは、前記少なくとも1つの処理モジュールの反対側の角に配置される、基板処理ツール。
  9. 請求項1に記載の基板処理ツールであって、
    前記第1アームおよび前記第2アームは、第1構成になるように回転可能であり、
    前記第1構成では、(i)前記第1アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の第1処理ステーションおよび第4処理ステーションにそれぞれ配置され、(ii)前記第2アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の第2処理ステーションおよび第3処理ステーションにそれぞれ配置される、基板処理ツール。
  10. 請求項9に記載の基板処理ツールであって、
    前記第1アームおよび前記第2アームは、第2構成になるように回転可能であり、
    前記第2構成では、(i)前記第1アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の前記第1処理ステーションおよび前記第4処理ステーションにそれぞれ配置され、(ii)前記第2アームの前記第1エンドエフェクタおよび前記第2エンドエフェクタが、前記複数の処理ステーションの内の前記第4処理ステーションおよび前記第1処理ステーションにそれぞれ配置される、基板処理ツール。
  11. 請求項10に記載の基板処理ツールであって、(i)前記第1処理ステーションおよび前記第4処理ステーションは、前記少なくとも1つの処理モジュールの第1側面に配置され、(ii)前記第2処理ステーションおよび前記第3処理ステーションは、前記第1側面と反対側にある前記少なくとも1つの処理モジュールの第2側面に配置される、基板処理ツール。
  12. 請求項10に記載の基板処理ツールであって、前記第1処理ステーションおよび前記第4処理ステーションは、それぞれロードステーションに対応する、基板処理ツール。
  13. 請求項1に記載の基板処理ツールであって、
    前記真空移送モジュールに接続された複数の処理モジュールを備える、基板処理ツール。
  14. 請求項13に記載の基板処理ツールであって、前記複数の処理モジュールは、前記真空移送モジュールの第1側面に接続された第1および第2処理モジュールと、前記真空移送モジュールの第2側面に接続された第3および第4処理モジュールと、を含む、基板処理ツール。
  15. 請求項14に記載の基板処理ツールであって、さらに、(i)前記第1側面と、(ii)前記第1および第2処理モジュールとの間に配置されたアダプタプレートを備え、
    前記アダプタプレートは、前記真空移送モジュールの前記第1側面と接続するように構成された平坦な側面と、前記第1および第2処理モジュールと接続するように構成された角度付きの側面とを備える、基板処理ツール。
  16. 請求項14に記載の基板処理ツールであって、前記真空移送モジュールの前記第1側面および前記第2側面は面取りされている、基板処理ツール。
  17. 請求項16に記載の基板処理ツールであって、さらに、(i)前記第1側面と、(ii)前記第1および第2処理モジュールと、の間に配置されたアダプタプレートを備え、 前記アダプタプレートは、前記真空移送モジュールの前記第1側面と接続するように構成された角度付きの側面と、前記第1および第2処理モジュールと接続するように構成された平坦な側面とを備える、基板処理ツール。
  18. 基板処理ツールであって、
    処理モジュール内に配置された機械式インデクサであって、前記処理モジュールは、複数の処理ステーションを備え、前記機械式インデクサは、
    第1エンドエフェクタ、第2エンドエフェクタ、および第1スピンドルを有する第1アームであって、(i)前記第1アームの前記第1エンドエフェクタを前記複数の処理ステーションの各々に選択的に配置すると共に、(ii)前記第1アームの前記第2エンドエフェクタを前記複数の処理ステーションの各々に選択的に配置するために、前記処理モジュール内の前記第1スピンドル上で回転するように構成された、第1アームと、
    第3エンドエフェクタ、第4エンドエフェクタ、および前記第1スピンドルとは別の第2スピンドルを有する第2アームであって、前記第1スピンドルおよび前記第2スピンドルは同軸であり、(i)前記第2アームの前記第3エンドエフェクタを前記複数の処理ステーションの各々に選択的に配置すると共に、(ii)前記第2アームの前記第4エンドエフェクタを前記複数の処理ステーションの各々に選択的に配置するために、前記処理モジュール内の前記第2スピンドル上で回転するように構成された、第2アームと、
    を備える、機械式インデクサを備え、
    前記第1アームは、前記第1スピンドルを介して前記第2アームと独立して上下されるように構成され、さらに、前記第1スピンドルを介して前記第2アームと独立して回転するように構成され、
    前記機械式インデクサは、前記処理モジュールの内部において複数の基板を同時に搬送することにより、前記処理モジュールの処理スループットを増大させるように構成されている、
    基板処理ツール。
JP2018006824A 2017-01-23 2018-01-19 最適化された低エネルギ/高生産性の蒸着システム Active JP7394520B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2022165116A JP7440592B2 (ja) 2017-01-23 2022-10-14 最適化された低エネルギ/高生産性の蒸着システム
JP2024020731A JP2024056883A (ja) 2017-01-23 2024-02-15 最適化された低エネルギ/高生産性の蒸着システム

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762449325P 2017-01-23 2017-01-23
US62/449,325 2017-01-23
US15/868,347 2018-01-11
US15/868,347 US11024531B2 (en) 2017-01-23 2018-01-11 Optimized low energy / high productivity deposition system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022165116A Division JP7440592B2 (ja) 2017-01-23 2022-10-14 最適化された低エネルギ/高生産性の蒸着システム

Publications (3)

Publication Number Publication Date
JP2018139287A JP2018139287A (ja) 2018-09-06
JP2018139287A5 JP2018139287A5 (ja) 2021-03-18
JP7394520B2 true JP7394520B2 (ja) 2023-12-08

Family

ID=61131919

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2018006824A Active JP7394520B2 (ja) 2017-01-23 2018-01-19 最適化された低エネルギ/高生産性の蒸着システム
JP2022165116A Active JP7440592B2 (ja) 2017-01-23 2022-10-14 最適化された低エネルギ/高生産性の蒸着システム
JP2024020731A Pending JP2024056883A (ja) 2017-01-23 2024-02-15 最適化された低エネルギ/高生産性の蒸着システム

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2022165116A Active JP7440592B2 (ja) 2017-01-23 2022-10-14 最適化された低エネルギ/高生産性の蒸着システム
JP2024020731A Pending JP2024056883A (ja) 2017-01-23 2024-02-15 最適化された低エネルギ/高生産性の蒸着システム

Country Status (7)

Country Link
US (2) US11024531B2 (ja)
EP (1) EP3352205B1 (ja)
JP (3) JP7394520B2 (ja)
KR (2) KR102533126B1 (ja)
CN (2) CN114551293A (ja)
SG (1) SG10201800524XA (ja)
TW (3) TW202344702A (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11024531B2 (en) * 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
US20220172967A1 (en) * 2019-03-29 2022-06-02 Lam Research Corporation Wafer placement correction in indexed multi-station processing chambers
US10998209B2 (en) * 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US11883958B2 (en) 2019-06-07 2024-01-30 Applied Materials, Inc. Robot apparatus including dual end effectors with variable pitch and methods
JP2022543453A (ja) * 2019-08-08 2022-10-12 ラム リサーチ コーポレーション マルチステーション処理モジュールにおけるウエハ搬送のためのスピンドルアセンブリ
KR20230156441A (ko) 2019-08-16 2023-11-14 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
JP2021154444A (ja) * 2020-03-27 2021-10-07 川崎重工業株式会社 ロボットシステム及びその制御方法
WO2021262585A1 (en) * 2020-06-25 2021-12-30 Lam Research Corporation Multi-station processing tools with station-varying support features for backside processing
CN112594439B (zh) * 2020-09-29 2022-11-25 如皋市蓝鹰齿轮制造有限公司 一种便于拆装的多回转型阀门齿轮箱及其安装方法
KR102459642B1 (ko) * 2020-12-21 2022-10-27 주식회사 테스 기판처리장치의 기판이송방법
CN114695216A (zh) * 2020-12-31 2022-07-01 拓荆科技股份有限公司 传送晶圆的方法和机械手臂
CN115943485A (zh) * 2021-04-27 2023-04-07 朗姆研究公司 具有晶片定心功能的旋转转位器

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008513980A (ja) 2004-09-13 2008-05-01 ジーナス インコーポレーテッド マルチ−シングルウェハ処理装置
JP2011199121A (ja) 2010-03-23 2011-10-06 Ulvac Japan Ltd 搬送装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100291971B1 (ko) 1993-10-26 2001-10-24 야마자끼 순페이 기판처리장치및방법과박막반도체디바이스제조방법
JPH11163075A (ja) * 1997-12-01 1999-06-18 Hitachi Ltd 半導体装置の製造方法および半導体製造装置
JP2000174091A (ja) 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
JP4253107B2 (ja) * 2000-08-24 2009-04-08 キヤノンアネルバ株式会社 基板処理装置及びその増設方法
US6737826B2 (en) 2001-07-13 2004-05-18 Brooks Automation, Inc. Substrate transport apparatus with multiple independent end effectors
US6949177B2 (en) * 2001-08-16 2005-09-27 Oriol Inc. System and method for processing semiconductor wafers using different wafer processes
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US8267632B2 (en) 2003-11-10 2012-09-18 Brooks Automation, Inc. Semiconductor manufacturing process modules
TWI476855B (zh) 2006-05-03 2015-03-11 Gen Co Ltd 基板傳輸設備、和使用該設備的高速基板處理系統
KR100818044B1 (ko) * 2006-05-04 2008-03-31 위순임 기판 지지대와 기판 반송 장치 및 이를 이용한 기판 처리시스템
KR100803559B1 (ko) 2007-05-02 2008-02-15 피에스케이 주식회사 기판 반송 유닛 및 방법, 그리고 상기 유닛을 가지는 기판처리 장치 및 상기 유닛을 이용한 기판 처리 방법
US20080175694A1 (en) 2007-01-19 2008-07-24 Dong-Seok Park Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
US20080178694A1 (en) * 2007-01-25 2008-07-31 Barford Lee A Dynamic environment measurements
US9117870B2 (en) 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
US8777547B2 (en) 2009-01-11 2014-07-15 Applied Materials, Inc. Systems, apparatus and methods for transporting substrates
CN201901699U (zh) 2010-09-17 2011-07-20 中微半导体设备(上海)有限公司 自动化基片传输和原位基片测试的mocvd处理系统
US9177842B2 (en) 2011-08-10 2015-11-03 Applied Materials, Inc. Degassing apparatus adapted to process substrates in multiple tiers with second actuator
US9202733B2 (en) 2011-11-07 2015-12-01 Persimmon Technologies Corporation Robot system with independent arms
JP6295037B2 (ja) * 2013-08-08 2018-03-14 日本電産サンキョー株式会社 産業用ロボット
CN105706227B (zh) 2013-11-04 2019-11-26 应用材料公司 具有增加的侧面数量的传送腔室、半导体装置制造处理工具和处理方法
US9916995B2 (en) * 2014-02-24 2018-03-13 Lam Research Corporation Compact substrate processing tool with multi-station processing and pre-processing and/or post-processing stations
JP6271322B2 (ja) * 2014-03-31 2018-01-31 東京エレクトロン株式会社 基板処理システム
CN104120389B (zh) 2014-08-04 2016-08-24 上海和辉光电有限公司 镀膜设备
CN104846337A (zh) 2015-04-30 2015-08-19 北京欣奕华科技有限公司 一种蒸镀设备及蒸镀生产线
US11024531B2 (en) * 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008513980A (ja) 2004-09-13 2008-05-01 ジーナス インコーポレーテッド マルチ−シングルウェハ処理装置
JP2011199121A (ja) 2010-03-23 2011-10-06 Ulvac Japan Ltd 搬送装置

Also Published As

Publication number Publication date
CN108374157B (zh) 2022-01-21
JP2022191406A (ja) 2022-12-27
TWI792531B (zh) 2023-02-11
US20210320029A1 (en) 2021-10-14
CN108374157A (zh) 2018-08-07
TW202146683A (zh) 2021-12-16
JP2018139287A (ja) 2018-09-06
KR20230073156A (ko) 2023-05-25
SG10201800524XA (en) 2018-08-30
TW201840880A (zh) 2018-11-16
KR102533126B1 (ko) 2023-05-15
EP3352205B1 (en) 2021-10-13
TW202344702A (zh) 2023-11-16
EP3352205A1 (en) 2018-07-25
CN114551293A (zh) 2022-05-27
JP7440592B2 (ja) 2024-02-28
US11024531B2 (en) 2021-06-01
TWI741133B (zh) 2021-10-01
JP2024056883A (ja) 2024-04-23
KR20180087153A (ko) 2018-08-01
US20180211864A1 (en) 2018-07-26
KR102656329B1 (ko) 2024-04-09

Similar Documents

Publication Publication Date Title
JP7440592B2 (ja) 最適化された低エネルギ/高生産性の蒸着システム
CN105529293B (zh) 用于传送晶片的设备前端模块以及传送晶片的方法
US11908714B2 (en) Transfer robot for reduced footprint platform architecture
CN107731710B (zh) 用于衬底处理系统的装载站和衬底处理工具
JP2023516065A (ja) 基板処理ツール用の直線配置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180702

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210113

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210201

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220125

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220614

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221014

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20221014

C11 Written invitation by the commissioner to file amendments

Free format text: JAPANESE INTERMEDIATE CODE: C11

Effective date: 20221025

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20221114

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20221115

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20221209

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20221213

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20230418

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230912

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231128

R150 Certificate of patent or registration of utility model

Ref document number: 7394520

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150