KR20180029736A - 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법 - Google Patents

알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법 Download PDF

Info

Publication number
KR20180029736A
KR20180029736A KR1020160118210A KR20160118210A KR20180029736A KR 20180029736 A KR20180029736 A KR 20180029736A KR 1020160118210 A KR1020160118210 A KR 1020160118210A KR 20160118210 A KR20160118210 A KR 20160118210A KR 20180029736 A KR20180029736 A KR 20180029736A
Authority
KR
South Korea
Prior art keywords
aluminum
film
forming
substrate
general formula
Prior art date
Application number
KR1020160118210A
Other languages
English (en)
Other versions
KR102627458B1 (ko
Inventor
박규희
김명운
임재순
조윤정
이상익
이성덕
조성우
Original Assignee
삼성전자주식회사
(주)디엔에프
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, (주)디엔에프 filed Critical 삼성전자주식회사
Priority to KR1020160118210A priority Critical patent/KR102627458B1/ko
Priority to US15/455,879 priority patent/US10224200B2/en
Priority to CN201710389086.5A priority patent/CN107814817A/zh
Priority to TW106121113A priority patent/TWI731109B/zh
Priority to JP2017159059A priority patent/JP7140476B2/ja
Publication of KR20180029736A publication Critical patent/KR20180029736A/ko
Application granted granted Critical
Publication of KR102627458B1 publication Critical patent/KR102627458B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/06Aluminium compounds
    • C07F5/061Aluminium compounds with C-aluminium linkage
    • C07F5/062Al linked exclusively to C
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/06Aluminium compounds
    • C07F5/061Aluminium compounds with C-aluminium linkage
    • C07F5/064Aluminium compounds with C-aluminium linkage compounds with an Al-Halogen linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/06Aluminium compounds
    • C07F5/061Aluminium compounds with C-aluminium linkage
    • C07F5/065Aluminium compounds with C-aluminium linkage compounds with an Al-H linkage
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/24Electrically-conducting paints
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • H10B63/24Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes of the Ovonic threshold switching type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8413Electrodes adapted for resistive heating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Compounds Of Alkaline-Earth Elements, Aluminum Or Rare-Earth Metals (AREA)
  • Non-Volatile Memory (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

다음 식의 알루미늄 화합물과, 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법을 제공한다.
Figure pat00012

식중, R1, R2, R3, R4, R5, R6, R7, 및 R8은 각각 독립적으로 수소 원자, 할로겐 원자, C1-C7의 치환 또는 비치환된 알킬기, C1-C7의 치환 또는 비치환된 알케닐기, C1-C7의 치환 또는 비치환된 알키닐기, 또는 C4-C20의 치환 또는 비치환된 방향족 또는 지환식 탄화수소기이다.

Description

알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법 {Aluminum compound and methods of forming thin film and integrated circuit device}
본 발명의 기술적 사상은 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법에 관한 것으로, 특히 상온에서 액체인 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법에 관한 것이다.
전자 기술의 발달로 인해, 최근 반도체 소자의 다운-스케일링(down-scaling)이 급속도로 진행되고 있으며, 이에 따라 전자 소자를 구성하는 패턴들의 구조가 복잡하고 미세화되어 가고 있다. 이에 수반하여 알루미늄을 함유하는 박막 형성시 열 안정성을 확보함으로써 복잡하고 미세화된 3 차원 구조에서 균일한 두께의 박막을 형성할 수 있는 원료 화합물의 개발이 필요하다.
본 발명의 기술적 사상이 이루고자 하는 기술적 과제는 알루미늄을 함유하는 박막을 형성하기 위한 원료 화합물로서, 알루미늄을 함유하는 박막 형성시 우수한 단차 피복성 (step coverage) 및 열 안정성을 가지면서 휘발성이 높고, 우수한 공정 안정성 및 양산성을 제공할 수 있는 알루미늄 화합물을 제공하는 것이다.
본 발명의 기술적 사상이 이루고자 하는 다른 기술적 과제는 우수한 단차 피복성, 공정 안정성 및 양산성을 제공할 수 있는 알루미늄 함유 박막 형성 방법과, 우수한 전기적 특성을 제공할 수 있는 집적회로 소자의 제조 방법을 제공하는 것이다.
본 발명의 기술적 사상에 의한 일 양태에 따른 알루미늄 화합물은 다음 일반식 (I)로 표시된다.
일반식 (I)
Figure pat00001
일반식 (I)에서, R1, R2, R3, R4, R5, R6, R7, 및 R8은 각각 독립적으로 수소 원자, 할로겐 원자, C1-C7의 치환 또는 비치환된 알킬(alkyl)기, C1-C7의 치환 또는 비치환된 알케닐(alkenyl)기, C1-C7의 치환 또는 비치환된 알키닐(alkynyl)기, 또는 C4-C20의 치환 또는 비치환된 방향족(aromatic) 또는 지환식 (alicyclic) 탄화수소기이다.
본 발명의 기술적 사상에 의한 일 양태에 따른 박막 형성 방법에서는 상기 일반식 (I)의 알루미늄 화합물을 사용하여 기판 위에 알루미늄 함유막을 형성한다.
본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자의 제조 방법에서는 기판상에 하부 구조물을 형성하고, 상기 일반식 (I)의 알루미늄 화합물을 사용하여 300 ∼ 600 ℃의 온도 하에서 상기 하부 구조물 상에 알루미늄 함유막을 형성한다.
본 발명의 기술적 사상에 의한 알루미늄 화합물은 상온에서 액체 상태이면서, 열적 안정성이 매우 우수하고, 휘발성이 높아, 취급 및 수송이 용이하다. 따라서, 고집적화된 집적회로 소자 제조를 위한 박막 형성 재료로서 사용하기 적합하다. 또한, 박막 내에 탄소 잔사와 같은 원하지 않는 이물질이 잔류하는 현상이 억제되어 품질이 우수한 알루미늄 함유막을 얻을 수 있다. 본 발명의 기술적 사상에 의하면, 공정 안정성 및 양산성 측면에서 유리한 공정 조건들을 이용하여 품질이 우수한 알루미늄 함유막을 형성할 수 있으며, 이를 이용하여 우수한 전기적 특성을 제공하는 집적회로 소자를 제조할 수 있다.
도 1은 본 발명의 기술적 사상에 의한 실시예들에 따른 박막 형성 방법을 설명하기 위한 플로차트이다.
도 2는 본 발명의 기술적 사상에 의한 실시예들에 따라 알루미늄 함유막을 형성하기 위한 예시적인 방법을 구체적으로 설명하기 위한 플로차트이다.
도 3a 내지 도 3h는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법을 하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 4a 내지 도 4c는 도 3g 및 도 3h에 예시한 게이트 유전막 대신 채용 가능한 다른 게이트 유전막의 예시적인 구조들을 보여주는 단면도들이다.
도 5a 내지 도 5j는 본 발명의 기술적 사상에 의한 다른 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 6a 내지 도 6d는 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 7a 내지 도 7c는 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위한 도면들로서, 도 7a는 집적회로 소자의 평면도이고, 도 7b는 도 7a의 집적회로 소자의 사시도이고, 도 7c는 도 7a의 X - X' 선 단면 및 Y - Y' 선 단면 구성을 보여주는 단면도이다.
도 8은 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위한 사시도이다.
도 9는 본 발명의 기술적 사상에 의한 알루미늄 화합물의 DSC (differential scanning calorimetry) 분석 결과를 나타낸 그래프이다.
도 10은 본 발명의 기술적 사상에 의한 알루미늄 화합물의 열중량 분석 (thermogravimetric analysis: TGA)한 결과를 나타낸 그래프이다.
도 11은 본 발명의 기술적 사상에 의한 알루미늄 화합물의 온도 변화에 따른 증기압을 측정한 결과를 나타낸 그래프이다.
도 12는 본 발명의 기술적 사상에 의한 박막 형성 방법에 따라 얻어진 알루미늄 산화막의 증착율을 평가한 결과를 나타낸 그래프이다.
도 13은 본 발명의 기술적 사상에 의한 박막 형성 방법에 따라 얻어진 알루미늄 산화막의 농도 조성 분석을 위한 XPS (X-ray Photoelectron Spectroscopy) 깊이 방향 원소 분석 (Depth Profile) 결과를 나타낸 그래프이다.
이하, 첨부 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다. 본 명세서에서 사용되는 용어 "상온"은 약 20 ∼ 28 ℃이며, 계절에 따라 다를 수 있다.
본 발명의 기술적 사상에 의한 알루미늄 화합물은 다음 일반식 (I)로 표시될 수 있다.
일반식 (I)
Figure pat00002
일반식 (I)에서, R1, R2, R3, R4, R5, R6, R7, 및 R8은 각각 독립적으로 수소 원자, 할로겐 원자, C1-C7의 치환 또는 비치환된 알킬(alkyl)기, C1-C7의 치환 또는 비치환된 알케닐(alkenyl)기, C1-C7의 치환 또는 비치환된 알키닐(alkynyl)기, 또는 C4-C20의 치환 또는 비치환된 방향족(aromatic) 또는 지환식 (alicyclic) 탄화수소기이다.
일부 실시예들에서, R1, R2, R3, R4, R5, R6, R7, 및 R8 중 적어도 일부는 할로겐 원자, 예를 들면 불소 원자로 치환된 탄화소수기일 수 있다. 다른 일부 실시예들에서, R1, R2, R3, R4, R5, R6, R7, 및 R8는 각각 오로지 탄소 및 수소 원자만을 함유하는 관능기일 수 있다. 상기 알킬기는 직쇄형, 분기형, 또는 고리형 알킬기일 수 있다. 직쇄형 알킬기의 예로는 메틸기, 에틸기, 프로필기, 부틸기 등을 포함할 수 있으나, 이들에 한정되는 것은 아니다. 분기형 알킬기의 예로는 t-부틸을 포함할 수 있으나, 이에 한정되는 것은 아니다. 고리형 알킬기의 예로는 시클로프로필기, 시클로펜틸기, 시클로헥실기 등을 포함할 수 있으나, 이들에 한정되는 것은 아니다.
일반식 (I)의 알루미늄 화합물은 열 분해 온도가 약 350 ∼ 550 ℃일 수 있다.
반도체 소자에서 알루미늄 함유막이 다양한 용도로 이용되고 있으며, 알루미늄 함유막의 막 특성에 따라서 반도체 소자의 제품 신뢰성이 좌우될 수 있다. 예를 들면, ALD (atomic layer deposition) 공정을 이용하여 알루미늄 산화막을 형성하는 경우, 알루미늄 전구체로 사용되는 알루미늄 화합물을 기화시킬 필요가 있다. 또한, 우수한 박막 특성을 가지는 알루미늄 산화막을 형성하기 위하여는 ALD 공정 온도를 비교적 높게 설정할 필요가 있다. 이때, 알루미늄 전구체로 사용되는 알루미늄 화합물의 열 안정성이 낮은 경우, 비교적 고온, 예를 들면 약 400 ℃ 이상의 온도에서 ALD 공정을 수행하는 동안 알루미늄 화합물이 열 분해될 수 있다. 그 결과, ALD에 필요한 자기 제한적 반응 (self-limiting reaction) 대신, CVD (chemical vapor deposition) 반응이 주로 일어날 수 있다. 이에 따라, 원하는 막 특성을 가지는 알루미늄 산화물을 얻을 수 없다. 이와 같은 문제를 방지하기 위하여 ALD 공정시의 온도를 낮게 설정하는 경우, 불순물이 없는 양질의 박막 특성, 및 높은 종횡비(aspect ratio)에서 요구되는 단차 피복 (step coverage) 특성을 만족시킬 수 없다.
본원 발명의 기술적 사상에 의한 알루미늄 화합물의 열 분해 온도는 약 350 ∼ 550 ℃로 비교적 높다. 따라서, 본원 발명의 기술적 사상에 의한 알루미늄 화합물을 이용하여 약 400 ℃ 이상의 온도에서 ALD 공정을 수행하더라도 ALD 증착 특성을 만족시킬 수 있다. 또한, 비교적 고온 공정으로 알루미늄 함유막을 형성하는 것이 가능하여, 그 결과 얻어진 알루미늄 함유막 내에서 원하지 않는 불순물이 잔류하는 것을 방지할 수 있다. 따라서, 알루미늄 함유막의 막 특성을 개선할 수 있으며, 높은 종횡비에서도 단차 피복 특성을 향상시킬 수 있다.
또한, 본원 발명의 기술적 사상에 의한 알루미늄 화합물은 ALD 공정을 수행하기에 충분한 휘발성을 나타내며, 융점이 비교적 낮아서 상온에서 액체 상태이다. 따라서, 집적회로 소자의 제조 공정에 사용될 때 취급이 용이하며, ALD 증착법에 의한 박막 형성용 원료로서 적합하다.
일부 실시예들에서, 일반식 (I)에서, R1 및 R5는 각각 독립적으로 C1-C7의 알킬기이고, R2, R3, R4, R6, R7, 및 R8은 각각 독립적으로 수소 원자 또는 C1-C7의 알킬기일 수 있다.
일 예에서, 본 발명의 기술적 사상에 의한 실시예들에 따른 알루미늄 화합물은 일반식 (II)로 표시될 수 있다.
일반식 (II)
Figure pat00003
일반식 (II)에서, R1 및 R5는 각각 독립적으로 C1-C7의 알킬기이다. 예를 들면, 본 발명의 기술적 사상에 의한 알루미늄 화합물은 화학식 (1)로 표시될 수 있다.
화학식 (1)
Figure pat00004
도 1은 본 발명의 기술적 사상에 의한 실시예들에 따른 박막 형성 방법을 설명하기 위한 플로차트이다.
도 1의 공정 P12에서 기판을 준비한다.
도 1의 공정 P14에서, 일반식 (I)의 알루미늄 화합물을 포함하는 박막 형성용 원료를 사용하여 상기 기판 위에 알루미늄 함유막을 형성한다. 일부 실시예들에서, 공정 P14에서 사용되는 박막 형성용 원료에 포함되는 알루미늄 화합물은 상온에서 액체일 수 있다. 일부 실시예들에서, 공정 P14에서 사용되는 알루미늄 화합물은 열분해 온도가 약 350 ∼ 550 ℃일 수 있다. 일부 실시예들에서, 상기 알루미늄 화합물은 화학식 (1)의 구조를 가질 수 있다.
일 예에서, 상기 박막 형성용 원료는 본 발명의 기술적 사상에 의한 실시예들에 따른 알루미늄 화합물 중 적어도 하나의 알루미늄 화합물을 포함하고, 다른 금속 화합물 및 반금속(半金屬) 화합물을 함유하지 않을 수 있다. 다른 예에서, 상기 박막 형성용 원료는 본 발명의 기술적 사상에 의한 알루미늄 화합물에 더하여 원하는 금속을 함유하는 화합물, 또는 반금속을 포함하는 화합물 (이하, "다른 전구체"라 함)을 함유할 수 있다. 또 다른 예에서, 상기 박막 형성용 원료는 본 발명의 기술적 사상에 의한 알루미늄 화합물 이외에, 유기 용제 또는 친핵성 시약을 포함할 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에서 박막 형성용 원료로서 사용될 수 있는 다른 전구체들의 예를 들면, 수소화물, 수산화물, 할로겐화물, 아자이드, 알킬, 알케닐, 시클로알킬, 알릴, 알키닐, 아미노, 디알킬아미노알킬, 모노알킬아미노, 디알킬아미노, 디아미노, 디(실릴-알킬)아미노, 디(알킬-실릴)아미노, 디실릴아미노, 알콕시, 알콕시알킬, 히드라지드, 포스파이드, 니트릴, 디알킬아미노알콕시, 알콕시알킬디알킬아미노, 실록시, 디케토네이트, 시클로펜타디에닐, 실릴, 피라졸레이트, 구아니디네이트, 포스포구아니디네이트, 아미디네이트, 포스포아미디네이트, 케토이미네이트, 디케토이미네이트, 카르보닐, 및 포스포아미디네이트를 리간드로 가지는 화합물들로부터 선택되는 1 종 또는 2 종 이상의 Si 또는 금속 화합물을 들 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에서 박막 형성용 원료로서 사용될 수 있는 다른 전구체들에 포함되는 금속으로서, Ti, Ta, Mg, Ca, Sr, Ba, Ra, Sc, Y, Zr, Hf, V, Nb, Cr, Mo, W, Mn, Fe, Os, Co, Rh, Ir, Ni, Pd, Pt, Cu, Ag, Au, Zn, Cd, Ga, In, Ge, Sn, Pb, Sb, Bi, La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb 등이 사용될 수 있으나, 본 발명의 기술적 사상은 상기 예시된 금속들에 한정되는 것은 아니다.
본 발명의 기술적 사상에 의한 알루미늄 화합물을 포함하는 박막 형성용 원료는 ALD 공정에 적합하게 사용될 수 있으며, 본 발명의 기술적 사상에 의한 알루미늄 화합물은 집적회로 소자 제조에 필요한 박막 형성 공정에서 ALD 공정에 필요한 Al 전구체로서 사용될 수 있다.
본 발명의 기술적 사상에 의한 일부 실시예들에 따른 박막 형성 방법에서는 일반식 (I)의 구조를 가지는 알루미늄 화합물을 사용하여, 퇴적 장치의 반응 챔버 내에서 알루미늄 함유막을 형성할 수 있다. 예를 들면, 알루미늄 함유막을 형성하기 위하여, 약 300 ∼ 600 ℃의 온도로 유지되는 반응 챔버 내에 상기 알루미늄 화합물을 공급할 수 있다. 상기 반응 챔버의 압력은 약 10 Pa 내지 대기압으로 유지될 수 있다. 일부 실시예들에서, 상기 알루미늄 함유막을 형성하기 위하여 상기 알루미늄 화합물이 단독으로 기판 위에 공급될 수 있다. 다른 일부 실시예들에서, 상기 알루미늄 함유막을 형성하기 위하여 알루미늄과는 다른 금속을 포함하는 전구체 화합물, 반응성 가스, 및 유기 용제 중 적어도 하나와, 상기 알루미늄 화합물과의 혼합물로 이루어지는 다성분 원료를 기판 위에 공급할 수 있다. 상기 공급 가스들이 반응 챔버 내부로 1 회 공급되는 시간은 약 0.1 초 내지 약 100 초 동안 유지될 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에 따라 알루미늄 질화막을 형성하는 경우, 상기 반응성 가스는 NH3, 모노알킬아민 (mono-alkyl amine), 디알킬아민 (di-alkyl amine), 트리알킬아민 (tri-alkyl amine), 유기 아민 화합물, 히드라진 화합물 (hydrazine compound), 및 이들의 조합 중에서 선택될 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에 따라 알루미늄 산화막을 형성하는 경우, 상기 반응성 가스는 O2, O3, 플라즈마 O2, H2O, NO2, NO, N2O (nitrous oxide), CO2, H2O2, HCOOH, CH3COOH, (CH3CO)2O, 및 이들의 조합 중에서 선택되는 산화성 가스일 수 있다.
또 다른 일부 실시예들에서, 상기 반응성 가스는 환원성 가스, 예를 들면 H2 일 수 있다.
상기 알루미늄 화합물 및 상기 반응성 가스는 상기 기판상에 동시에 또는 순차적으로 공급될 수 있다.
본 발명의 기술적 사상에 의한 실시예들에 따른 박막 형성 방법에서, 박막을 형성하기 위한 기판은 실리콘 기판; SiN, TiN, TaN, TiO, TiN, RuO, ZrO, HfO, LaO 등의 세라믹스 기판; 유리 기판; 루테늄 등의 금속 기판 등으로 이루어질 수 있다.
도 2는 본 발명의 기술적 사상에 의한 실시예들에 따라 알루미늄 함유막을 형성하기 위한 예시적인 방법을 구체적으로 설명하기 위한 플로차트이다. 도 2를 참조하여, 도 1의 공정 P14에 따라 알루미늄 함유막을 ALD 공정으로 형성하는 방법에 대하여 보다 상세히 설명한다.
도 2를 참조하면, 공정 P14A에서 알루미늄 화합물을 포함하는 소스 가스를 기화시킨다. 상기 알루미늄 화합물은 일반식 (I)의 구조를 가지는 알루미늄 화합물로 이루어질 수 있다.
공정 P14B에서, 반응 챔버 내부를 약 300 ∼ 600 ℃의 온도로 유지하면서 공정 P14A에 따라 기화된 소스 가스를 기판상에 공급하여 상기 기판상에 Al 소스 흡착층을 형성한다. 상기 기화된 소스 가스를 상기 기판상에 공급함으로써 상기 기판상에 상기 기화된 소스 가스의 화학흡착층(chemisorbed layer) 및 물리흡착층(physisorbed layer)을 포함하는 흡착층이 형성될 수 있다.
공정 P14C에서, 반응 챔버 내부를 약 300 ∼ 600 ℃의 온도로 유지하면서 상기 기판상에 퍼지(purge) 가스를 공급하여 상기 기판상의 불필요한 부산물을 제거한다. 상기 퍼지 가스로서 예를 들면 Ar, He, Ne 등의 불활성 가스 또는 N2 가스 등을 사용할 수 있다.
공정 P14D에서, 챔버 내부를 약 300 ∼ 600 ℃의 온도로 유지하면서 기판상에 형성된 상기 Al 소스 흡착층 위에 반응성 가스를 공급한다.
알루미늄 함유막으로서 알루미늄 질화막을 형성하는 경우, 상기 반응성 가스는 NH3, 모노알킬아민, 디알킬아민, 트리알킬아민, 유기 아민 화합물, 히드라진 화합물, 및 이들의 조합 중에서 선택될 수 있다. 알루미늄 함유막으로서 알루미늄 산화막을 형성하는 경우, 상기 반응성 가스는 O2, O3, 플라즈마 O2, H2O, NO2, NO, N2O, CO2, H2O2, HCOOH, CH3COOH, (CH3CO)2O, 및 이들의 조합 중에서 선택되는 산화성 가스일 수 있다. 다른 일부 실시예들에서, 상기 반응성 가스는 환원성 가스, 예를 들면 H2 일 수 있다.
공정 P14E에서, 상기 기판상에 퍼지 가스를 공급하여 상기 기판상의 불필요한 부산물을 제거한다.
공정 P14E을 수행한 후, 상기 알루미늄 함유막을 어닐링하는 공정을 수행할 수 있다. 상기 어닐링은 공정 P14B 내지 공정 P14E에서 적용한 공정 온도보다 더 높은 온도 하에서 수행될 수 있다. 예를 들면, 상기 어닐링은 약 500 ∼ 1150 ℃의 범위 내에서 선택되는 온도 하에서 수행될 수 있다. 일부 실시예들에서, 상기 어닐링은 질소 분위기 하에서 수행될 수 있다. 상기한 바와 같이 어닐링 공정을 수행함으로써 알루미늄 함유막이 치밀화될 수 있으며, 막 내의 불순물이 제거됨으로써 막 특성이 향상될 수 있다. 예를 들면, 도 2의 공정에 따라 알루미늄 함유막으로서 알루미늄 산화막을 형성한 경우, 상기 어닐링 공정에 의해 알루미늄 산화막이 치밀화되어 알루미늄 산화막이 수축(shrink)될 수 있으며, 그에 따라 상기 알루미늄 산화막의 밀도가 증가될 수 있다.
도 2를 참조하여 설명한 알루미늄 함유막의 형성 방법은 단지 예시에 불과한 것으로, 본 발명의 기술적 사상의 범위 내에서 다양한 변형 및 변경이 가능하다.
본 발명의 기술적 사상에 의한 박막 형성 방법에 따라 기판상에 알루미늄 함유막을 형성하기 위하여 일반식 (I)의 구조를 가지는 알루미늄 화합물을 다른 전구체, 반응성 가스, 캐리어 가스, 및 퍼지 가스 중 적어도 하나와 함께, 또는 순차적으로 기판상에 공급할 수 있다. 일부 실시예들에서, 상기 알루미늄 화합물은 상기한 일반식 (II)로 표시될 수 있으며, 이 때 R1 및 R5는 각각 독립적으로 C1-C7의 알킬기일 수 있다. 예를 들면, 상기 알루미늄 화합물은 상기한 화학식 (1)로 표시될 수 있다.
본 발명의 기술적 사상에 따라 ALD 공정을 이용하여 알루미늄 함유막을 형성하는 경우, 원하는 두께의 알루미늄 함유막을 제어하기 위하여 ALD 사이클 횟수를 조절할 수 있다.
예를 들면, ALD 공정을 이용하여 알루미늄 함유막을 형성할 때, 플라즈마, 광, 전압 등의 에너지를 인가할 수 있다. 이와 같이 에너지를 인가하는 시점은 다양하게 선택될 수 있다. 예를 들면, 알루미늄 화합물을 포함하는 소스 가스를 반응 챔버 내부로 도입할 때, 상기 소스 가스를 기판상에 흡착시킬 때, 퍼지 가스에 의한 배기 공정시, 반응성 가스를 반응 챔버 내부로 도입할 때, 또는 이들 각각의 시점 사이에 플라즈마, 광, 전압 등의 에너지를 인가할 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에 있어서, 일반식 (I)의 구조를 가지는 알루미늄 화합물을 사용하여 알루미늄 함유막을 형성한 후, 불활성 분위기, 산화성 분위기 또는 환원성 분위기 하에서 어닐링하는 공정을 더 포함할 수 있다. 또는, 상기 알루미늄 함유막의 표면에 형성된 단차를 매립하기 위하여, 필요에 따라 상기 알루미늄 함유막에 대하여 리플로우(reflow) 공정을 수행할 수도 있다. 상기 어닐링 공정 및 리플로우 공정은 각각 약 200 ∼ 1150 ℃의 범위 내에서 선택되는 온도 조건 하에서 수행될 수 있으나, 상기 예시된 온도에 한정되는 것은 아니다.
본 발명의 기술적 사상에 의한 박막 형성 방법에 따르면, 본 발명의 기술적 사상에 의한 알루미늄 화합물, 상기 알루미늄 화합물과 함께 사용되는 다른 전구체, 반응성 가스, 및 박막 형성 공정 조건을 적당히 선택함에 따라 다양한 종류의 알루미늄 함유막을 형성할 수 있다.
일부 실시예들에서, 본 발명의 기술적 사상에 의한 박막 형성 방법에 따라 형성된 알루미늄 함유막은 Al2O3로 대표되는 알루미늄 산화막, AlN으로 대표되는 알루미늄 질화막, 알루미늄 합금막, 알루미늄 합금을 포함하는 복합 산화막 등으로 이루어질 수 있다. 일부 실시예들에서, 상기 복합 산화막은 탄소 원자를 포함할 수 있다. 상기 복합 산화막 내에 포함되는 탄소 원자는 일반식 (I)에 예시한 알루미늄 화합물 내에 포함된 탄소 원자로부터 유래될 수 있다. 상기 복합 산화막은 Ti와 Al과의 복합 산화막, Ta와 Al과의 복합 산화막 등으로 이루어질 수 있으나, 본 발명의 기술적 사상이 상기 예시한 바에 한정되는 것은 아니다.
본 발명의 기술적 사상에 의한 박막 형성 방법에 따라 제조된 알루미늄 함유막은 다양한 용도로 사용될 수 있다. 일 예에서, 상기 알루미늄 함유막은 3 차원 CTF (charge trap flash) 셀에 포함된 게이트 유전막의 터널 배리어, 트랜지스터의 게이트, 구리 배선과 같은 금속 배선에 포함되는 도전성 배리어막, 커패시터의 유전막, 가변 저항 메모리 소자의 전극, 액정용 배리어 금속막, 박막 태양전지용 부재, 반도체 설비용 부재, 나노 구조체 등에 사용될 수 있으나, 상기 알루미늄 함유막의 용도가 상기 예시된 바에 한정되는 것은 아니다.
도 3a 내지 도 3h는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법을 하기 위하여 공정 순서에 따라 도시한 단면도들이다. 도 3a 내지 도 3h를 참조하여, 수직 구조의 비휘발성 메모리 소자를 구성하는 집적회로 소자(100)(도 3h 참조)의 메모리 셀 어레이의 제조 방법에 대하여 설명한다.
도 3a를 참조하면, 기판(110) 상에 식각 정지용 절연막(122)을 형성하고, 식각 정지용 절연막(122) 상에 복수의 희생층(P124) 및 복수의 절연층(126)을 하나씩 교대로 적층한다. 최상부의 절연층(126)의 두께는 다른 절연층(126)의 두께보다 더 클 수 있다.
기판(110)은 Si, Ge과 같은 반도체 원소, 또는 SiC, GaAs, InAs, 및 InP와 같은 화합물 반도체를 포함할 수 있다. 기판(110)은 반도체 기판과, 상기 반도체 기판 위에 형성된 적어도 하나의 절연막, 또는 적어도 하나의 도전 영역을 포함하는 구조물들을 포함할 수 있다.
식각 정지용 절연막(122) 및 복수의 절연층(126)은 절연 물질, 예들 들면 실리콘 산화물일 수 있다. 복수의 희생층(P124)은 상기 식각 정지용 절연막(122) 및 복수의 절연층(126)에 대하여 서로 다른 식각 선택비를 가지는 물질로 이루어질 수 있다. 예를 들면, 복수의 희생층(P124)은 실리콘 질화막, 실리콘 산화질화막, 폴리실리콘막 또는 폴리실리콘 게르마늄막을 포함할 수 있다.
도 3b를 참조하면, 복수의 절연층(126), 복수의 희생층(P124) 및 식각 정지용 절연막(122)을 관통하여 기판(110)을 노출시키는 복수의 채널 홀(130)를 형성한다.
도 3c를 참조하면, 복수의 채널 홀(130) 각각의 내벽을 차례로 덮는 전하 저장막(132) 및 터널 절연막(134)을 형성하고, 터널 절연막(134)을 덮는 채널 영역(140)을 형성한다.
전하 저장막(132)은 실리콘 질화막으로 이루어질 수 있다. 터널 절연막(134)은 실리콘 산화막으로 이루어질 수 있다. 채널 영역(140)은 반도체 막, 예를 들면 Si 막으로 이루어질 수 있다. 채널 영역(140)은 채널 홀(130) 내부를 완전히 채우지 않을 수 있다. 채널 홀(130) 중 채널 영역(140) 상에 남아 있는 공간은 절연막(142)으로 채워질 수 있다.
그 후, 복수의 채널 홀(130) 내에서 전하 저장막(132), 터널 절연막(134), 채널 영역(140), 및 절연막(142)을 일부 제거하여 복수의 채널 홀(130)에 각각 상부 공간을 마련하고, 상기 상부 공간을 도전 패턴(150)으로 채울 수 있다. 도전 패턴(150)은 도핑된 폴리실리콘으로 이루어질 수 있다. 도전 패턴(150)은 드레인 영역으로 이용될 수 있다.
도 3d를 참조하면, 복수의 절연층(126), 복수의 희생층(P124) 및 식각 정지용 절연막(122)을 관통하여 기판(110)을 노출시키는 복수의 개구(160)를 형성한다.
복수의 개구(160)는 각각 워드 라인 컷 영역일 수 있다.
도 3e를 참조하면, 복수의 개구(160)로부터 복수의 희생층(P124)을 제거하여 복수의 절연층(126) 각각의 사이에 하나씩 배치되는 복수의 게이트 공간(GS)을 마련한다. 복수의 게이트 공간(GS)을 통해 전하 저장막(132)이 노출될 수 있다.
도 3f를 참조하면, 복수의 게이트 공간(GS)의 내벽을 덮는 블로킹 절연막(136)을 형성한다.
블로킹 절연막(136)은 알루미늄 산화막으로 이루어질 수 있다. 블로킹 절연막(136)을 형성하기 위하여 도 1 또는 도 2를 참조하여 설명한 박막 형성 방법을 이용할 수 있다. 일부 실시예들에서, 블로킹 절연막(136)을 형성하기 위하여 ALD 공정을 이용할 수 있다. 이 때, Al 소스로서 일반식 (I)의 알루미늄 화합물, 예를 들면 화학식 (1)의 알루미늄 화합물을 복수의 개구(160)를 통해 공급할 수 있다. 상기 ALD 공정은 약 300 ∼ 600 ℃의 범위 내에서 선택되는 제1 온도 하에서 수행될 수 있다. 상기 알루미늄 산화막을 형성한 후, 상기 제1 온도보다 높은 제2 온도하에서 상기 알루미늄 산화막을 어닐링하여 상기 알루미늄 산화막을 치밀화할 수 있다. 상기 제2 온도는 약 500 ∼ 1150 ℃의 범위 내에서 선택될 수 있다.
도 3g를 참조하면, 복수의 게이트 공간(GS) 중 블로킹 절연막(136)에 의해 포위되는 나머지 공간을 채우는 게이트 전극용 도전층을 형성한 후, 복수의 개구(160) 내에서 복수의 절연층(126) 각각의 측벽이 노출되도록 상기 블로킹 절연막(136) 및 게이트 전극용 도전층을 일부 제거하여, 복수의 게이트 공간(GS) 내에 블로킹 절연막(136) 및 게이트 전극(164)이 남도록 한다.
채널 영역(140)과 상기 게이트 전극(164)과의 사이에서 채널 영역(140)으로부터 차례로 형성된 터널 절연막(134), 전하 저장막(132), 및 블로킹 절연막(136)은 게이트 유전막(138)을 구성할 수 있다.
일부 실시예들에서, 게이트 전극(164)은 상기 블로킹 절연막(136)에 접하는 제1 도전성 배리어막과, 상기 제1 도전성 배리어막 위에 형성된 제1 도전막을 포함할 수 있다. 상기 제1 도전성 배리어막은 도전성 금속 질화물, 예를 들면 TiN 또는 TaN으로 이루어질 수 있다. 상기 제1 도전막은 도전성 폴리실리콘, 금속, 금속 실리사이드, 또는 이들의 조합으로 이루어질 수 있다.
블로킹 절연막(136)은 탄소 잔사와 같은 원하지 않는 이물질이 없는 알루미늄 산화막으로 이루어질 수 있다. 도 3f를 참조하여 설명한 바와 같이 알루미늄 산화막을 어닐링하여 치밀화함으로써, 도 3g의 공정에서 복수의 절연층(126) 각각의 측벽이 노출되도록 블로킹 절연막(136)의 일부 및 게이트 전극용 도전층의 일부를 제거하는 동안, 블로킹 절연막(136)이 식각 분위기에 과다하게 노출되어 소모되거나 복수의 게이트 공간(GS)의 입구측에서 블로킹 절연막(136)이 식각 분위기에 의해 원하지 않게 제거되어 게이트 공간(GS)을 채우는 게이트 전극(164) 구성 물질의 손상을 야기하는 등의 문제를 방지할 수 있다.
블로킹 절연막(136) 및 게이트 전극(164)이 복수의 게이트 공간(GS) 내에만 남게 된 후, 복수의 개구(160)를 통해 기판(110)이 노출될 수 있다. 복수의 개구(160)를 통해 노출되는 기판(110)에 불순물을 주입하여 기판(110)에 복수의 공통 소스 영역(168)을 형성할 수 있다.
도 3h를 참조하면, 복수의 개구(160)의 내부 측벽에 절연 스페이서(172)를 형성하고, 복수의 개구(160)의 내부 공간을 도전성 플러그(174)로 채운다.
일부 실시예들에서, 절연 스페이서(172)는 실리콘 산화막, 실리콘 질화막, 또는 이들의 조합으로 이루어질 수 있다. 도전성 플러그(174)는 절연 스페이서(172)에 접하는 제2 도전성 배리어막과, 복수의 개구(160) 내에서 상기 제2 도전성 배리어막으로 포위되는 공간을 채우는 제2 도전막을 포함할 수 있다. 상기 제2 도전성 배리어막은 도전성 금속 질화물, 예를 들면 TiN 또는 TaN으로 이루어지고, 상기 제2 도전막은 금속, 예를 들면 텅스텐으로 이루어질 수 있다.
복수의 도전성 플러그(174) 위에 복수의 제1 콘택(182)을 형성하고, 복수의 제1 콘택(182) 위에 복수의 제1 도전층(184)을 형성할 수 있다. 복수의 제1 콘택(182) 및 복수의 제1 도전층(184)은 각각 금속, 금속 질화물, 또는 이들의 조합으로 이루어질 수 있다.
복수의 도전 패턴(150) 위에 복수의 제2 콘택(192) 및 복수의 비트 라인(194)이 형성될 수 있다. 복수의 제2 콘택(192) 및 복수의 비트 라인(194)은 각각 금속, 금속 질화물, 또는 이들의 조합으로 이루어질 수 있다.
도 3a 내지 도 3h를 참조하여 설명한 본 발명의 기술적 사상에 의한 실시예들에 따른 방법에 의해 형성된 집적회로 소자(100)의 제조 방법에 따르면, 알루미늄 산화물로 이루어지는 블로킹 절연막(136)을 형성하기 위한 ALD 공정에서 본 발명의 기술적 사상에 의한 알루미늄 화합물을 사용함으로써, 상기 ALD 공정시 원료 화합물로서 요구되는 특성, 예를 들면 높은 열 안정성, 낮은 융점, 높은 증기압, 액체 상태에서의 수송 가능성, 기화 용이성을 확보할 수 있다. 따라서, 본 발명의 기술적 사상에 의한 알루미늄 화합물을 사용하여 원하는 특성을 가지는 블로킹 절연막(136)을 용이하게 형성할 수 있다. 또한, 비교적 큰 아스펙트비를 가지는 홀의 깊이에 따라 균일한 스텝 커버리지 특성을 가지는 블로킹 절연막(136)이 얻어질 수 있다.
도 4a 내지 도 4c는 도 3a 내지 도 3h를 참조하여 설명한 집적회로 소자(100)의 제조 방법에서, 도 3g 및 도 3h에 예시한 게이트 유전막(138) 대신 채용 가능한 다른 게이트 유전막(138A, 138B, 138C)의 예시적인 구조들을 보여주는 단면도들이다.
일부 실시예들에서, 도 3h에 예시한 집적회로 소자(100)는 게이트 유전막(138) 대신 도 4a에 예시한 게이트 유전막(138A)을 포함할 수 있다. 게이트 유전막(138A)은 게이트 공간(GS) 내에서 게이트 전극(164) 중 채널 영역(140)에 대면하는 표면과 절연막(176)에 대면하는 표면들을 덮도록 형성될 수 있다. 게이트 유전막(138A)은 게이트 공간(GS) 중 채널 영역(140)과 게이트 전극(164)과의 사이의 영역에서 채널 영역(140)으로부터 차례로 형성된 터널 절연막(134A), 전하 저장막(132A), 및 블로킹 절연막(136A)을 포함할 수 있다. 블로킹 절연막(136A)은 도 3f를 참조하여 블로킹 절연막(136)의 형성 방법에 대하여 설명한 바와 같은 방법으로 형성될 수 있다.
다른 일부 실시예들에서, 도 3h에 예시한 집적회로 소자(100)는 게이트 유전막(138) 대신 도 4b에 예시한 게이트 유전막(138B)을 포함할 수 있다. 게이트 유전막(138B)은 게이트 공간(GS) 내에서 게이트 전극(164) 중 채널 영역(140)에 대면하는 표면을 덮도록 게이트 공간(GS) 중 게이트 전극(164)과 채널 영역(140)과의 사이의 영역에 개재될 수 있다. 게이트 유전막(138B)은 채널 영역(140)과 게이트 전극(164)과의 사이에서 채널 영역(140)으로부터 차례로 형성된 터널 절연막(134B), 전하 저장막(132B), 및 블로킹 절연막(136B)을 포함할 수 있다. 블로킹 절연막(136B)은 도 3f를 참조하여 블로킹 절연막(136)의 형성 방법에 대하여 설명한 바와 같은 방법으로 형성될 수 있다.
또 다른 일부 실시예들에서, 도 3h에 예시한 집적회로 소자(100)는 게이트 유전막(138) 대신 도 4c에 예시한 게이트 유전막(138C)을 포함할 수 있다. 게이트 유전막(138C)은 게이트 전극(164) 중 채널 영역(140)에 대면하는 표면을 덮도록 게이트 전극(164)과 채널 영역(140)과의 사이에 개재될 수 있으며, 채널 홀(130) 내에서 채널 영역(140)의 길이 방향을 따라 채널 영역(140)과 평행하게 연장될 수 있다. 게이트 유전막(138C)은 채널 홀(130) 내부 중 채널 영역(140)과 게이트 전극(164)과의 사이의 영역에서 채널 영역(140)으로부터 차례로 형성된 터널 절연막(134C), 전하 저장막(132C), 및 블로킹 절연막(136C)을 포함할 수 있다. 상기 블로킹 절연막(136C)은 도 3f를 참조하여 블로킹 절연막(136)의 형성 방법에 대하여 설명한 바와 같은 방법으로 형성될 수 있다.
도 4a 내지 도 4c에 예시한 터널 절연막(134A, 134B, 134C), 전하 저장막(132A, 132B, 132C), 및 블로킹 절연막(136A, 136B, 136C)에 대한 보다 상세한 구성은 도 3a 내지 도 3h를 참조하여 터널 절연막(134), 전하 저장막(132), 및 블로킹 절연막(136)에 대하여 설명한 바와 대체로 동일하다.
도 5a 내지 도 5j는 본 발명의 기술적 사상에 의한 다른 실시예들에 따른 집적회로 소자(200) (도 5j 참조)의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 5a를 참조하면, 복수의 활성 영역(AC)을 포함하는 기판(110) 상에 층간절연막(220)을 형성한 후, 층간절연막(220)을 관통하여 복수의 활성 영역(AC)에 연결되는 복수의 도전 영역(224)을 형성한다.
복수의 활성 영역(AC)은 복수의 소자분리 영역(212)에 의해 정의될 수 있다. 층간절연막(220)은 실리콘 산화막을 포함할 수 있다. 복수의 도전 영역(224)은 폴리실리콘, 금속, 도전성 금속 질화물, 금속 실리사이드, 또는 이들의 조합으로 이루어질 수 있다.
도 5b를 참조하면, 층간절연막(220) 및 복수의 도전 영역(224)을 덮는 절연층(228)을 형성한다.
절연층(228)은 식각 정지층으로 사용될 수 있다. 절연층(228)은 층간절연막(220) 및 후속 공정에서 형성되는 몰드막(230) (도 5c 참조)에 대하여 식각 선택비를 가지는 절연 물질로 이루어질 수 있다. 일부 실시예들에서, 절연층(228)은 실리콘 질화물, 실리콘 산화질화물, 또는 이들의 조합으로 이루어질 수 있다.
도 5c를 참조하면, 절연층(228) 위에 몰드막(230)을 형성한다. 몰드막(230)은 산화막으로 이루어질 수 있다. 일부 실시예들에서, 몰드막(230)은 지지막(도시 생략)을 포함할 수 있다. 상기 지지막은 몰드막(230)에 대하여 식각 선택비를 가지는 물질로 형성될 수 있다.
도 5d를 참조하면, 몰드막(230) 위에 희생막(242) 및 마스크 패턴(244)을 차례로 형성한다.
희생막(242)은 산화막으로 이루어질 수 있다. 희생막(242)은 몰드막(230)에 포함된 지지막을 보호하는 역할을 할 수 있다. 마스크 패턴(244)은 산화막, 질화막, 폴리실리콘막, 포토레지스트막, 또는 이들의 조합으로 이루어질 수 있다. 마스크 패턴(244)에 의해 커패시터의 하부 전극이 형성될 영역이 정의될 수 있다.
도 5e를 참조하면, 마스크 패턴(244)을 식각 마스크로 이용하고 절연층(228)을 식각 정지층으로 이용하여 희생막(242) 및 몰드막(230)을 건식 식각하여, 복수의 홀(H1)을 한정하는 희생 패턴(242P) 및 몰드 패턴(230P)을 형성한다. 이 때, 과도 식각에 의해 상기 절연층(228)도 식각되어 복수의 도전 영역(224)을 노출시키는 절연 패턴(228P)이 형성될 수 있다.
도 5f를 참조하면, 도 5e의 결과물로부터 마스크 패턴(244)을 제거한 후, 복수의 홀(H1) 각각의 내부 측벽과, 절연 패턴(228P)의 노출 표면과, 복수의 홀(H1) 각각의 내부에서 노출되는 복수의 도전 영역(224)의 표면과, 희생 패턴(242P)의 노출 표면을 덮는 하부 전극 형성용 도전막(250)을 형성한다.
하부 전극 형성용 도전막(250)은 복수의 홀(H1) 각각의 내부 공간이 일부 남도록 복수의 홀(H1)의 측벽에 컨포멀(conformal)하게 형성될 수 있다.
하부 전극 형성용 도전막(250)은 도핑된 반도체, 도전성 금속 질화물, 금속, 금속 실리사이드, 도전성 산화물, 또는 이들의 조합으로 이루어질 수 있다. 예를 들면, 하부 전극 형성용 도전막(250)은 TiN, TiAlN, TaN, TaAlN, W, WN, Ru, RuO2, SrRuO3, Ir, IrO2, Pt, PtO, BSRO (Ba,Sr)RuO3), CRO (CaRuO3), LSCo ((La,Sr)CoO3), 또는 이들의 조합으로 이루어질 수 있다. 상기 하부 전극 형성용 도전막(250)을 형성하기 위하여, CVD, MOCVD (metal organic CVD), 또는 ALD 공정을 이용할 수 있다.
도 5g를 참조하면, 하부 전극 형성용 도전막(250)의 상부를 부분적으로 제거하여 하부 전극 형성용 도전막(250)을 복수의 하부 전극(LE)으로 분리한다.
복수의 하부 전극(LE)을 형성하기 위하여, 몰드 패턴(230P)의 상면이 노출될 까지 하부 전극 형성용 도전막(250)의 상부측 일부와 희생 패턴(242P)(도 5f 참조)을 에치백 또는 CMP (chemical mechanical polishing) 공정을 이용하여 제거할 수 있다.
도 5h를 참조하면, 몰드 패턴(230P)을 제거하여, 실린더 형상의 복수의 하부 전극(LE)의 외벽면들을 노출시킨다.
도 5i를 참조하면, 복수의 하부 전극(LE) 위에 유전막(260)을 형성한다.
유전막(260)은 복수의 하부 전극(LE)의 노출 표면들을 컨포멀하게 덮도록 형성될 수 있다. 유전막(260)은 알루미늄 산화막을 포함하도록 형성될 수 있다. 유전막(260)은 ALD 공정에 의해 형성될 수 있다. 유전막(260)을 형성하기 위하여 도 1 또는 도 2를 참조하여 설명한 본 발명의 기술적 사상에 의한 박막 형성 방법을 이용할 수 있다.
일부 실시예들에서, 유전막(260)은 알루미늄 산화막의 단일막으로 이루어질 수 있다. 다른 일부 실시예들에서, 유전막(260)은 적어도 하나의 알루미늄 산화막과, 탄탈륨 산화막 및 지르코늄 산화막 중에서 선택되는 적어도 하나의 고유전막과의 조합으로 이루어질 수 있다.
유전막(260)을 구성하는 알루미늄 산화막을 ALD 공정에 의해 형성하기 위하여, Al 소스로서 일반식 (I)에 따른 알루미늄 화합물, 예를 들면 화학식 (1)에 따른 알루미늄 화합물을 사용할 수 있다. 유전막(260)을 형성하기 위한 ALD 공정은 약 300 ∼ 600 ℃의 범위 내에서 수행될 수 있다. 유전막(260)을 형성한 후, 약 500 ∼ 1150 ℃의 온도하에서 유전막(260)을 어닐링할 수 있다.
도 5j를 참조하면, 유전막(260) 상에 상부 전극(UE)을 형성한다. 하부 전극(LE), 유전막(260), 및 상부 전극(UE)에 의해 커패시터(270)가 구성될 수 있다.
상부 전극(UE)은 도핑된 반도체, 도전성 금속 질화물, 금속, 금속 실리사이드, 도전성 산화물, 또는 이들의 조합으로 이루어질 수 있다. 상부 전극(UE)을 형성하기 위하여, CVD, MOCVD, PVD, 또는 ALD 공정을 이용할 수 있다.
도 5a 내지 도 5j를 참조하여 설명한 집적회로 소자(200)의 제조 방법에서, 실린더형 하부 전극(LE) 대신 내부 공간이 없는 필라(pillar)형 하부 전극을 형성할 수도 있으며, 유전막(260)은 상기 필라형 하부 전극 위에 형성될 수도 있다.
도 5a 내지 도 5j를 참조하여 설명한 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자(200)의 제조 방법에서, 커패시터(270)는 커패시턴스를 증가시키기 위해 3 차원 전극 구조를 가지는 하부 전극(LE)을 포함한다. 디자인 룰 감소로 인한 커패시턴스 감소를 보상하기 위하여 3 차원 구조의 하부 전극(LE)의 아스펙트비는 증가하고 있다. ALD 공정에 의해 상기 하부 전극(LE) 위에 유전막(260)을 형성하는 데 있어서, 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자(200)의 제조 방법에 따르면, 유전막(260) 형성에 필요한 알루미늄 화합물을 비교적 큰 아스펙트비를 가지는 3 차원 구조물의 하부까지 용이하게 운송할 수 있으며, 그에 따라 비교적 큰 아스펙트비를 가지는 하부 전극(LE) 위에 양호한 스텝 커버리지 특성을 가지는 유전막(260)을 형성할 수 있다.
도 6a 내지 도 6d는 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자(300) (도 6d 참조)의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 6a를 참조하면, 기판(110)상에 도전 패턴(312)을 형성하고, 도전 패턴(312) 위에 홀(314H)이 형성된 층간 절연막 패턴(314)을 형성한다. 홀(314H)을 통해 도전 패턴(312)의 일부가 노출될 수 있다.
도전 패턴(312)은 소스/드레인 영역, 게이트 전극, 또는 배선층일 수 있다. 층간 절연막 패턴(314)은 실리콘 산화막, 실리콘 질화막, 또는 이들의 조합으로 이루어지는 단일층 또는 다중층으로 형성될 수 있다.
도 6b를 참조하면, 홀(314H)의 내벽을 덮도록 도전 패턴(312)의 노출 표면 및 층간 절연막 패턴(314)의 노출 표면 위에 도전성 배리어막(320)을 형성한다.
도전성 배리어막(320)은 알루미늄 질화막으로 이루어질 수 있다. 도전성 배리어막(320)을 형성하기 위하여 ALD 공정을 이용할 수 있다. 도전성 배리어막(320)을 형성하기 위하여 도 1 또는 도 2를 참조하여 설명한 본 발명의 기술적 사상에 의한 박막 형성 방법을 이용할 수 있다. 상기 도전성 배리어막(320)을 구성하는 알루미늄 질화막을 ALD 공정에 의해 형성하기 위하여, Al 소스로서 일반식 (I)에 따른 알루미늄 화합물, 예를 들면 화학식 (1)에 따른 알루미늄 화합물을 사용할 수 있다. 도전성 배리어막(320)을 형성하기 위한 ALD 공정은 약 300 ∼ 600 ℃의 범위 내에서 수행될 수 있다. 도전성 배리어막(320)을 형성한 후, 약 500 ∼ 1150 ℃의 온도하에서 상기 도전성 배리어막(320)을 어닐링할 수 있다.
도 6c를 참조하면, 도전성 배리어막(320) 위에 홀(314H)(도 6b 참조)을 채우기에 충분한 두께의 배선층(330)을 형성한다.
배선층(330)은 금속, 예를 들면 텅스텐 또는 구리로 이루어질 수 있다.
도 6d를 참조하면, 에치백, CMP, 또는 이들의 조합을 이용하여 상기 도전성 배리어막(320) 및 배선층(330) 중 불필요한 부분들을 제거하여, 홀(314H)(도 6b 참조) 내에 상기 도전성 배리어막(320) 및 배선층(330)이 남도록 한다.
도 6a 내지 도 6d를 참조하여 설명한 집적회로 소자(300)의 제조 방법에 의하면, 도전성 배리어막(320)을 구성하는 알루미늄 질화막 내에서의 불순물 함량을 현저히 낮추어 막질이 우수한 도전성 배리어막(320)을 제공함으로써 집적회로 소자(300)의 신뢰성을 향상시킬 수 있다.
도 7a 내지 도 7c는 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위한 도면들로서, 도 7a는 집적회로 소자(400)의 평면도이고, 도 7b는 도 7a의 집적회로 소자(400)의 사시도이고, 도 7c는 도 7a의 X - X' 선 단면 및 Y - Y' 선 단면 구성을 보여주는 단면도이다.
도 7a 내지 도 7c를 참조하면, 집적회로 소자(400)는 기판(110)으로부터 돌출된 핀형 (fin-type) 활성 영역(FA)을 포함한다.
핀형 활성 영역(FA)은 일 방향 (도 7a 및 도 7b에서 Y 방향)을 따라 연장될 수 있다. 기판(110)상에는 핀형 활성 영역(FA)의 하부 측벽을 덮는 소자분리막(402)이 형성되어 있다. 핀형 활성 영역(FA)은 소자분리막(402) 위로 핀 형상으로 돌출되어 있다. 일부 실시예들에서, 소자분리막(402)은 실리콘 산화막, 실리콘 질화막, 실리콘 산화질화막, 또는 이들의 조합으로 이루어질 수 있다.
기판(110)상에서 핀형 활성 영역(FA) 위에는 게이트 구조체(420)가 핀형 활성 영역(FA)의 연장 방향에 교차하는 방향 (X 방향)으로 연장될 수 있다. 핀형 활성 영역(FA) 중 게이트 구조체(420)의 양 측에는 한 쌍의 소스/드레인 영역(430)이 형성될 수 있다.
한 쌍의 소스/드레인 영역(430)은 핀형 활성 영역(FA)으로부터 에피택셜 성장된 반도체층을 포함할 수 있다. 한 쌍의 소스/드레인 영역(430)은 각각 에피택셜 성장된 복수의 SiGe층을 포함하는 임베디드 SiGe 구조, 에피택셜 성장된 Si 층, 또는 에피택셜 성장된 SiC 층으로 이루어질 수 있다. 한 쌍의 소스/드레인 영역(430)의 형상은 도 7b에 예시한 바에 한정되지 않으며, 다양한 형상을 가질 수 있다.
핀형 활성 영역(FA)과 게이트 구조체(420)가 교차하는 부분에서 MOS 트랜지스터(TR)가 형성될 수 있다. MOS 트랜지스터(TR)는 핀형 활성 영역(FA)의 상면 및 양 측면에서 채널이 형성되는 3 차원 구조의 MOS 트랜지스터로 이루어질 수 있다. MOS 트랜지스터(TR)는 NMOS 트랜지스터 또는 PMOS 트랜지스터를 구성할 수 있다.
도 7c에 예시한 바와 같이, 게이트 구조체(420)는 핀형 활성 영역(FA)의 표면으로부터 차례로 형성된 인터페이스층(412), 고유전막(414), 제1 금속함유층(426A), 제2 금속함유층(426B), 및 갭필 금속층(428)을 포함할 수 있다. 게이트 구조체(420) 중 제1 금속함유층(426A), 제2 금속함유층(426B), 및 갭필 금속층(428)은 게이트 전극(420G)을 구성할 수 있다.
게이트 구조체(420)의 양 측면에는 절연 스페이서(442)가 형성될 수 있다. 절연 스페이서(442)는 층간 절연막(444)에 의해 덮여 있다. 인터페이스층(412)은 핀형 활성 영역(FA)의 표면을 덮도록 형성되며, 산화막, 질화막, 또는 산화질화막과 같은 절연 물질로 형성될 수 있다. 고유전막(414)은 실리콘 산화막보다 유전 상수가 더 큰 물질로 이루어질 수 있다. 예를 들면, 고유전막(414)은 약 10 내지 25의 유전 상수를 가질 수 있다. 고유전막(414)은 금속 산화물 또는 금속 산화질화물로 이루어질 수 있다.
제1 금속함유층(426A)은 P 형 일함수 도전 물질, 예를 들면 TiN으로 이루어질 수 있다. 제2 금속함유층(426B)은 N 형 일함수 도전 물질, 예들 들면 Ti 또는 Ta을 함유한 Al 화합물을 포함하는 NMOS 트랜지스터에 필요한 N 형 금속 함유층으로 이루어질 수 있다. 제2 금속함유층(426B)은 탄소 원자를 포함하는 Al 함유막을 포함할 수 있다. 예를 들면, 제2 금속함유층(426B)은 TiAlC, TiAlCN, TaAlC, TaAlCN, TiAl, TiAlN, TaAlN, 또는 이들의 조합을 포함할 수도 있다.
제2 금속함유층(426B)을 형성하기 위하여, 도 1 또는 도 2를 참조하여 설명한 박막 형성 방법을 이용할 수 있다. 제2 금속함유층(426B)을 형성하기 위하여 ALD 공정을 이용할 수 있다. 이 때, Al 소스로서 일반식 (I)에 따른 알루미늄 화합물, 예를 들면 화학식 (1)에 따른 알루미늄 화합물을 사용할 수 있다. 제2 금속함유층(426B)을 형성하기 위한 ALD 공정은 약 300 ∼ 600 ℃의 범위 내에서 수행될 수 있다. 일부 실시예들에서, 제2 금속함유층(426B)을 형성한 후, 제2 금속함유층(426B)을 약 500 ∼ 1150 ℃의 온도 하에서 어닐링할 수 있다.
제2 금속함유층(426B)은 제1 금속함유층(426A)과 함께 게이트 구조체(420)의 일함수를 조절하여 게이트 구조체(420)의 문턱 전압이 조절될 수 있다.
갭필 금속층(428)은 RMG (Replacement Metal Gate) 공정에 의해 게이트 구조체(420)를 형성할 때 제2 금속함유층(426B) 상의 남는 게이트 공간을 채우도록 형성될 수 있다. 제2 금속함유층(426B)을 형성한 후 상기 제2 금속함유층(426B) 상부에 남아 있는 게이트 공간이 없는 경우, 제2 금속함유층(426B) 위에 갭필 금속층(428)이 형성되지 않고 생략될 수도 있다. 갭필 금속층(428)은 W, TiN, TaN 등의 금속 질화물, Al, 금속 탄화물, 금속 실리사이드, 금속 알루미늄 탄화물, 금속 알루미늄 질화물, 또는 금속 실리콘 질화물을 포함할 수 있다.
도 7a 내지 도 7c를 참조하여 설명한 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자(400)를 제조하는 데 있어서, 제2 금속함유층(426B)을 형성하기 위하여 본 발명의 기술적 사상에 의한 알루미늄 화합물을 이용함으로써 집적회로 소자(400)의 신뢰성을 향상시킬 수 있다.
도 8은 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위한 사시도이다. 도 8에 예시한 집적회로 소자(500)는 가변 저항 메모리 소자를 구성할 수 있다.
도 8을 참조하면, 집적회로 소자(500)는 기판(110) 상에 형성된 층간 절연막(502)과, 층간 절연막(502) 위에 차례로 형성된 복수의 제1 전극 라인(510), 복수의 제2 전극 라인(520), 및 복수의 가변 저항 메모리 셀(MC)을 포함할 수 있다.
층간 절연막(502)은 실리콘 산화막, 실리콘 질화막, 또는 이들의 조합으로 이루어질 수 있다.
복수의 제1 전극 라인(510)은 기판(110) 위에서 제1 방향 (X 방향)으로 상호 평행하게 연장될 수 있다. 복수의 제2 전극 라인(520)은 복수의 제1 전극 라인(510) 위에서 제1 방향과 교차하는 제2 방향 (Y 방향)으로 상호 평행하게 연장될 수 있다. 복수의 제1 전극 라인(510) 및 복수의 제2 전극 라인(520) 중 어느 하나는 복수의 워드 라인이고, 다른 하나는 복수의 비트 라인일 수 있다.
복수의 제1 및 제2 전극 라인(510, 520)은 각각 금속, 도전성 금속 질화물, 도전성 금속 산화물, 또는 이들의 조합으로 이루어질 수 있다. 예를 들면, 복수의 제1 및 제2 전극 라인(510, 520)은 각각 Al, TiAlN, 또는 이들의 조합으로 이루어질 수 있다. 복수의 제1 및 제2 전극 라인(510, 520)을 형성하기 위하여, 도 1 또는 도 2를 참조하여 설명한 박막 형성 방법을 이용할 수 있다. 일부 실시예들에서, 복수의 제1 및 제2 전극 라인(510, 520)을 형성하기 위하여 ALD 공정을 이용할 수 있다. 이 때, Al 소스로서 일반식 (I)에 따른 알루미늄 화합물, 예를 들면 화학식 (1)에 따른 알루미늄 화합물을 사용할 수 있다. 상기 ALD 공정은 약 300 ∼ 600 ℃의 범위 내에서 선택되는 제1 온도 하에서 수행될 수 있다.
복수의 제1 및 제2 전극 라인(510, 520)은 도전성 배리어막(도시 생략)을 더 포함할 수 있다. 상기 도전성 배리어막은 Ti, TiN, Ta, TaN, 또는 이들의 조합으로 이루어질 수 있다.
복수의 메모리 셀(MC)은 복수의 제1 전극 라인(510)과 복수의 제2 전극 라인과(520)의 사이에서 이들이 교차하는 부분들에 배치될 수 있다. 복수의 메모리 셀(MC)은 제1 방향 및 제2 방향으로 서로 이격되어 배치될 수 있다. 복수의 메모리 셀(MC)은 각각 하부 전극층(542), 선택 소자층(544), 중간 전극층(546), 가열 전극층(552), 가변 저항층(554), 및 상부 전극층(556)을 포함할 수 있다.
가변 저항층(554)은 가열 시간에 따라 비정질(amorphous) 상태와 결정질(crystalline) 상태 사이에서 가역적으로 변화하는 상변화 물질을 포함할 수 있다. 예를 들면, 가변 저항층(554)은 가변 저항층(554)의 양단에 인가되는 전압에 의해 발생하는 줄 열(Joule heat)에 의해 상(phase)이 가역적으로 변화될 수 있고, 이러한 상변화에 의해 저항이 변화될 수 있는 물질을 포함할 수 있다. 구체적으로, 상기 상변화 물질은 비정질 상에서 고저항 상태가 되고, 결정질 상에서 저저항 상태가 될 수 있다. 고저항 상태를 '0'으로, 저저항 상태 '1'로 정의함으로써, 가변 저항층(554)에 데이터가 저장될 수 있다. 가변 저항층(554)은 상변화 물질로서 칼코게나이드 물질을 포함할 수 있다. 예를 들면, 가변 저항층(554)은 Ge-Sb-Te(GST)를 포함할 수 있다. 가변 저항층(554)은 붕소(B), 탄소(C), 질소(N), 산소(O), 인(P) 및 황(S) 중에서 선택된 적어도 하나의 불순물을 더 포함할 수 있다. 상기 불순물에 의해 집적회로 소자(500)의 구동 전류가 변화될 수 있다. 또한, 가변 저항층(554)은 금속을 더 포함할 수 있다. 가변 저항층(554)이 전이 금속 산화물을 포함하는 경우, 집적회로 소자(500)는 ReRAM(Resistive RAM)이 될 수 있다.
선택 소자층(544)은 전류의 흐름을 제어할 수 있는 전류 조정 층일 수 있다. 선택 소자층(544)은 선택 소자층(544) 양단에 걸린 전압의 크기에 따라 저항이 변화할 수 있는 물질층을 포함할 수 있다. 예를 들면, 선택 소자층(544)은 오보닉 문턱 스위칭(Ovonic Threshold Switching, OTS) 물질을 포함할 수 있다. 선택 소자층(544)은 OTS 물질로서 칼코게나이드 스위칭 물질을 포함할 수 있다.
가열 전극층(552)은 중간 전극층(546)과 가변 저항층(554) 사이에서 가변 저항층(554)과 접하도록 배치될 수 있다. 가열 전극층(552)은 셋 또는 리셋 동작에서 가변 저항층(554)을 가열하는 기능을 할 수 있다. 가열 전극층(552)은 TiN, TiSiN, TiAlN, TaSiN, TaAlN, TaN, WSi, WN, TiW, MoN, NbN, TiBN, ZrSiN, WSiN, WBN, ZrAlN, MoAlN, TiAl, TiON, TiAlON, WON, TaON, C, SiC, SiCN, CN, TiCN, TaCN, 또는 이들의 조합으로 이루어지는 고융점 금속 또는 이들의 질화물로 이루어질 수 있다.
하부 전극층(542), 중간 전극층(546) 및 상부 전극층(556)은 각각 Al, TiAlN, 또는 이들의 조합으로 이루어질 수 있다. 하부 전극층(542), 중간 전극층(546) 및 상부 전극층(556) 중 적어도 하나는 도 1 또는 도 2를 참조하여 설명한 박막 형성 방법을 이용하여 형성될 수 있다. 일부 실시예들에서, 하부 전극층(542), 중간 전극층(546) 및 상부 전극층(556) 중 적어도 하나를 형성하기 위하여 ALD 공정을 이용할 수 있다. 이 때, Al 소스로서 일반식 (I)에 따른 알루미늄 화합물, 예를 들면 화학식 (1)에 따른 알루미늄 화합물을 사용할 수 있다. 상기 ALD 공정은 약 300 ∼ 600 ℃의 범위 내에서 선택되는 제1 온도 하에서 수행될 수 있다.
복수의 제1 전극 라인(510) 각각의 사이에는 제1 절연층(572)이 배치될 수 있다. 복수의 메모리 셀(MC) 각각의 사이에는 제2 절연층(574)이 배치될 수 있다. 복수의 제2 전극 라인(520) 각각의 사이에는 제3 절연층(576)이 배치될 수 있다.
다음에, 본 발명의 기술적 사상에 의한 실시예들에 따른 알루미늄 화합물의 구체적인 합성예 및 박막 형성 방법들을 설명한다. 그러나, 본 발명의 기술적 사상이 다음의 예들에 한정되는 것은 아니다.
예 1
화학식 (1)의 알루미늄 화합물 [( CH 3 )N( CH 2 CH 2 CH 2 ) 2 Al( CH 3 )]의 합성
메틸다이클로로알루미늄 (41 g, 0.37 mol)을 녹인 후, 상온에서 3-마그네슘클로로-N-(3-마그네슘프로필)-N-메틸프로판-1-아민 (400 ml, 1N) THF (tetrahydrofuran) 용액을 투입하여 상온에서 17 시간 동안 교반하였다. 반응이 종료된 후 감압 하에서 용매 및 휘발성 부생성물을 제거한 후 감압 증류 (32 ℃, 0.54 torr)하여 화학식 (1)의 화합물 35 g을 얻었다. (수율 61 %)
(분석치)
1H-NMR (solvent: benzene-d6, ppm) δ -0.51(3H, S, CH3Al), 0.18(4H, t, NCH2CH2CH2Al), 1.61(4H, m, NCH2CH2CH2Al), 1.79(3H, s, CH3N(CH2CH2CH2)Al), 1.82(2H, m, NCHH'CH2CH2Al), 2.08(2H, m, NCHH'CH2CH2Al)
평가예
화학식 (1)의 알루미늄 화합물의 특성 평가
도 9는 예 1에서 얻어진 화학식 (1)의 알루미늄 화합물의 DSC (differential scanning calorimetry) 분석 결과를 나타낸 그래프이다.
도 9의 결과로부터 알 수 있는 바와 같이, 화학식 (1)의 알루미늄 화합물은 약 400 ℃까지 열분해 피크가 관찰되지 않았으며, 약 405 ℃에서 열분해가 발생되는 것을 확인하였다.
비교예로서, TMA (trimethyl aluminum)에 대하여 동일한 방법으로 DSC 분석한 결과, 약 237 ℃에서 열분해가 발생된 것을 확인하였다. 이로부터, 화학식 (1)의 알루미늄 화합물의 열정 안정성이 매우 우수한 것을 알 수 있다.
도 10은 화학식 (1)의 알루미늄 화합물 10 mg을 아르곤 분위기에서 10 ℃/min의 승온 조건으로 열중량 분석 (thermogravimetric analysis: TGA)한 결과를 나타낸 그래프이다.
도 10에서, 종축은 온도 증가에 따른 중량 손실 백분율을 보여준다. 도 10으로부터 알 수 있는 바와 같이, 화학식 (1)의 알루미늄 화합물은 빠른 기화 특성을 가지고 약 170 ℃ 부근에서 열 분해로 인한 잔류 물질 없이 99 % 이상 기화되었다.
도 11은 화학식 (1)의 알루미늄 화합물의 온도 변화에 따른 증기압을 측정한 결과를 나타낸 그래프이다.
도 11의 결과에서, 50 ℃ 조건에서 약 1 Torr 수준의 증기압이 확인되었다.
예 2
알루미늄 산화막의 형성
예 1에서 합성한 화학식 (1)의 알루미늄 화합물을 원료로 하여, ALD 공정에 의해 실리콘 기판상에 알루미늄 산화막을 형성하였다. 이 때, 반응성 가스로서 오존 가스를 사용하였고, 퍼지 가스로서 아르곤을 사용하였다. 알루미늄 산화막을 형성하는 동안 기판의 온도는 350 ∼ 600 ℃를 유지하였다.
알루미늄 산화막을 형성하기 위하여 다음과 같은 일련의 공정 (1) 내지 공정 (4)를 1 사이클로 하여, 200 사이클을 반복하였다.
공정 (1): 알루미늄 화합물의 용기(canister) 가열 온도 80 ℃ 하에서 기화시킨 알루미늄 화합물의 증기를 8 초 동안 반응 챔버 내에 도입하여 350 ∼ 600 ℃로 유지되는 기판상에 퇴적시키는 공정.
공정 (2): 3000 sccm의 유량으로 공급되는 아르곤 가스를 이용하여 10 초 동안 퍼지하여 미반응된 원료를 제거하는 공정.
공정 (3): 반응 챔버 내에 반응성 가스인 오존 가스를 300 sccm의 유량으로 14 초 동안 도입하여 반응시키는 공정.
공정 (4): 3000 sccm의 유량으로 공급되는 아르곤 가스를 이용하여 10 초 동안 퍼지하여 미반응된 원료를 제거하는 공정.
도 12는 예 2에서 기판 온도에 따라 얻어진 알루미늄 산화막의 증착율을 평가한 결과를 나타낸 그래프이다.
도 12의 결과에서, 350 ∼ 550 ℃ 까지의 증착율은 약 0.92 ∼ 1.17 Å/cycle이고, 600 ℃에서의 증착율은 약 1.81 Å/cycle이었다. 이로부터, ALD 윈도우 (window) 구간은 약 350 ∼ 550 ℃인 것으로 확인되었다.
상기 결과로부터, 화학식(1)의 알루미늄 화합물을 이용하여 알루미늄 산화막을 증착할 때, 박막 성장 속도가 일정한 ALD 거동을 보이는 것을 확인하였으며, 약 350 ∼ 550 ℃의 비교적 넓은 ALD 윈도우를 확보할 수 있으며, 우수한 열적 안정성을 가지는 것을 확인하였다.
도 13은 예 2에서 기판 온도를 350 ℃ 및 550 ℃로 유지하는 조건 하에서 얻어진 알루미늄 산화막의 농도 조성 분석을 위한 XPS (X-ray Photoelectron Spectroscopy) 깊이 방향 원소 분석 (Depth Profile) 결과를 나타낸 그래프이다.
기판 온도가 350 ℃ 및 550 ℃일 때, 얻어진 알루미늄 산화막 내의 탄소 원자는 약 1 원자% 미만으로 검출되어, 전구체 분해로 인한 불순물이 발생하지 않았음을 확인하였다.
이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상 및 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형 및 변경이 가능하다.
136: 블로킹 절연막, 260: 유전막, 320: 도전성 배리어막, 426B: 제2 금속함유층, 510: 제1 전극 라인, 520: 제2 전극 라인.

Claims (20)

  1. 다음 일반식 (I)의 알루미늄 화합물.
    일반식 (I)
    Figure pat00005

    일반식 (I)에서,
    R1, R2, R3, R4, R5, R6, R7, 및 R8은 각각 독립적으로 수소 원자, 할로겐 원자, C1-C7의 치환 또는 비치환된 알킬(alkyl)기, C1-C7의 치환 또는 비치환된 알케닐(alkenyl)기, C1-C7의 치환 또는 비치환된 알키닐(alkynyl)기, 또는 C4-C20의 치환 또는 비치환된 방향족(aromatic) 또는 지환식 (alicyclic) 탄화수소기임.
  2. 제1항에 있어서,
    열 분해 온도가 350 ∼ 550 ℃인 것을 특징으로 하는 알루미늄 화합물.
  3. 제1항에 있어서,
    일반식 (II)로 표시되는 것을 특징으로 하는 알루미늄 화합물.
    일반식 (II)
    Figure pat00006

    일반식 (II)에서,
    R1 및 R5는 각각 독립적으로 C1-C7의 알킬기임.
  4. 제1항에 있어서,
    화학식 (1)로 표시되는 것을 특징으로 하는 알루미늄 화합물.
    화학식 (1)
    Figure pat00007
  5. 다음 일반식 (I)의 알루미늄 화합물을 사용하여 기판 위에 알루미늄 함유막을 형성하는 단계를 포함하는 것을 특징으로 하는 박막 형성 방법.
    일반식 (I)
    Figure pat00008

    일반식 (I)에서,
    R1, R2, R3, R4, R5, R6, R7, 및 R8은 각각 독립적으로 수소 원자, 할로겐 원자, C1-C7의 치환 또는 비치환된 알킬기, C1-C7의 치환 또는 비치환된 알케닐기, C1-C7의 치환 또는 비치환된 알키닐기, 또는 C4-C20의 치환 또는 비치환된 방향족 또는 지환식 탄화수소기임.
  6. 제5항에 있어서,
    상기 알루미늄 화합물은 열 분해 온도가 350 ∼ 550 ℃인 것을 특징으로 하는 박막 형성 방법.
  7. 제5항에 있어서,
    상기 알루미늄 화합물은 일반식 (II)로 표시되는 것을 특징으로 하는 박막 형성 방법.
    일반식 (II)
    Figure pat00009

    일반식 (II)에서,
    R1 및 R5는 각각 독립적으로 C1-C7의 알킬기임.
  8. 제5항에 있어서,
    상기 알루미늄 함유막을 형성하는 단계는 300 ∼ 600 ℃의 온도 하에서 수행되는 것을 특징으로 하는 박막 형성 방법.
  9. 제5항에 있어서,
    상기 알루미늄 함유막을 형성하는 단계는 상기 기판상에 상기 알루미늄 화합물 및 반응성 가스를 동시에 또는 순차적으로 공급하는 단계를 포함하고,
    상기 반응성 가스는 NH3, 모노알킬아민 (mono-alkyl amine), 디알킬아민 (di-alkyl amine), 트리알킬아민 (tri-alkyl amine), 유기 아민 화합물, 히드라진 화합물 (hydrazine compound), 및 이들의 조합 중에서 선택되는 것을 특징으로 하는 박막 형성 방법.
  10. 제5항에 있어서,
    상기 알루미늄 함유막을 형성하는 단계는 상기 기판상에 상기 알루미늄 화합물 및 반응성 가스를 동시에 또는 순차적으로 공급하는 단계를 포함하고,
    상기 반응성 가스는 O2, O3, 플라즈마 O2, H2O, NO2, NO, N2O (nitrous oxide), CO2, H2O2, HCOOH, CH3COOH, (CH3CO)2O, 및 이들의 조합 중에서 선택되는 것을 특징으로 하는 박막 형성 방법.
  11. 기판상에 하부 구조물을 형성하는 단계와,
    다음 일반식 (I)의 알루미늄 화합물을 사용하여 300 ∼ 600 ℃의 온도 하에서 상기 하부 구조물 상에 알루미늄 함유막을 형성하는 단계를 포함하는 것을 특징으로 하는 집적회로 소자의 제조 방법.
    일반식 (I)
    Figure pat00010

    일반식 (I)에서,
    R1, R2, R3, R4, R5, R6, R7, 및 R8은 각각 독립적으로 수소 원자, 할로겐 원자, C1-C7의 치환 또는 비치환된 알킬기, C1-C7의 치환 또는 비치환된 알케닐기, C1-C7의 치환 또는 비치환된 알키닐기, 또는 C4-C20의 치환 또는 비치환된 방향족 또는 지환식 탄화수소기임.
  12. 제11항에 있어서,
    상기 알루미늄 화합물은 열 분해 온도가 350 ∼ 550 ℃인 것을 특징으로 하는 집적회로 소자의 제조 방법.
  13. 제11항에 있어서,
    상기 알루미늄 화합물은 일반식 (II)로 표시되는 것을 특징으로 하는 집적회로 소자의 제조 방법.
    일반식 (II)
    Figure pat00011

    일반식 (II)에서,
    R1 및 R5는 각각 독립적으로 C1-C7의 알킬기임.
  14. 제11항에 있어서,
    상기 알루미늄 함유막을 형성하는 단계는 상기 하부 구조물 상에 알루미늄 산화막, 알루미늄 질화막, 탄소를 포함하는 알루미늄 합금막, 또는 질소를 포함하는 알루미늄 합금막을 형성하는 단계를 포함하는 것을 특징으로 하는 집적회로 소자의 제조 방법.
  15. 제11항에 있어서,
    상기 하부 구조물을 형성하는 단계는
    상기 기판상에 상기 기판과 평행하게 연장되는 복수의 절연층과 복수의 희생층을 교대로 하나씩 적층하는 단계와,
    상기 복수의 희생층 및 복수의 절연층을 관통하는 개구를 형성하는 단계와,
    상기 개구를 통해 상기 복수의 희생층을 제거하여 상기 복수의 절연층 각각의 사이에 하나씩 배치되는 복수의 게이트 공간을 마련하는 단계를 포함하고,
    상기 알루미늄 함유막을 형성하는 단계는
    300 ∼ 600 ℃의 범위 내에서 선택되는 제1 온도 하에서 상기 개구를 통해 상기 복수의 게이트 공간에 상기 알루미늄 화합물을 공급하여 상기 복수의 게이트 공간 내에 알루미늄 산화막을 형성하는 단계를 포함하는 것을 특징으로 하는 집적회로 소자의 제조 방법.
  16. 제15항에 있어서,
    상기 알루미늄 산화막을 형성한 후, 상기 제1 온도보다 높은 제2 온도하에서 상기 알루미늄 산화막을 어닐링하여 상기 알루미늄 산화막을 치밀화(densification)하는 단계를 더 포함하는 것을 특징으로 하는 집적회로 소자의 제조 방법.
  17. 제15항에 있어서,
    상기 알루미늄 산화막을 형성한 후, 상기 알루미늄 산화막 위에서 상기 복수의 게이트 공간을 채우는 복수의 게이트 전극을 형성하는 단계를 포함하는 것을 특징으로 하는 집적회로 소자의 제조 방법.
  18. 제11항에 있어서,
    상기 기판상에 하부 전극, 유전막, 및 상부 전극을 포함하는 커패시터를 형성하는 단계를 더 포함하고,
    상기 하부 구조물을 형성하는 단계는 상기 기판상에 상기 하부 전극을 형성하는 단계를 포함하고,
    상기 알루미늄 함유막을 형성하는 단계는, 상기 유전막을 형성하기 위하여 상기 하부 전극의 표면을 덮는 알루미늄 산화막을 형성하는 단계를 포함하는 것을 특징으로 하는 집적회로 소자의 제조 방법.
  19. 제11항에 있어서,
    상기 하부 구조물을 형성하는 단계는
    상기 기판의 일부를 식각하여 상기 기판으로부터 상부로 돌출되는 핀형 활성 영역을 형성하는 단계와,
    상기 핀형 활성 영역 위에 고유전막을 형성하는 단계를 포함하고,
    상기 알루미늄 함유막을 형성하는 단계는
    상기 알루미늄 화합물과, 알루미늄이 아닌 다른 금속을 포함하는 금속 화합물을 사용하여 상기 고유전막을 사이에 두고 상기 핀형 활성 영역의 상면 및 양 측벽을 덮는 금속 함유층을 형성하는 단계를 포함하고,
    상기 금속 함유층은 TiAlC, TiAlCN, TaAlC, TaAlCN, TiAl, TiAlN, TaAlN, 또는 이들의 조합을 포함하는 것을 특징으로 하는 집적회로 소자의 제조 방법.
  20. 제11항에 있어서,
    상기 기판 상에서 상기 기판의 상면에 평행한 제1 방향으로 연장되는 복수의 제1 전극 라인과, 상기 복수의 제1 전극 라인 상에서 상기 기판의 상면에 평행하고 상기 제1 방향과 다른 제2 방향으로 연장되는 복수의 제2 전극 라인과, 상기 복수의 제1 전극 라인과 상기 복수의 제2 전극 라인과의 사이에서 상기 복수의 제1 전극 라인과 상기 복수의 제2 전극 라인이 교차하는 부분들에 배치되는 복수의 가변 저항 메모리 셀을 포함하는 메모리 소자를 제조하는 단계를 더 포함하고,
    상기 알루미늄 함유막을 형성하는 단계는 상기 알루미늄 화합물을 사용하여 상기 복수의 제1 전극 라인 또는 상기 복수의 제2 전극 라인을 형성하는 단계를 포함하는 것을 특징으로 하는 집적회로 소자의 제조 방법.
KR1020160118210A 2016-09-13 2016-09-13 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법 KR102627458B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020160118210A KR102627458B1 (ko) 2016-09-13 2016-09-13 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US15/455,879 US10224200B2 (en) 2016-09-13 2017-03-10 Aluminum compound, method of forming thin film by using the same, and method of fabricating integrated circuit device
CN201710389086.5A CN107814817A (zh) 2016-09-13 2017-05-27 铝化合物、通过使用其形成薄膜的方法、和制造集成电路器件的方法
TW106121113A TWI731109B (zh) 2016-09-13 2017-06-23 鋁化合物、使用其形成薄膜之方法與製造積體電路元件之方法
JP2017159059A JP7140476B2 (ja) 2016-09-13 2017-08-22 アルミニウム化合物を利用した薄膜形成方法、並びに集積回路素子の製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160118210A KR102627458B1 (ko) 2016-09-13 2016-09-13 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법

Publications (2)

Publication Number Publication Date
KR20180029736A true KR20180029736A (ko) 2018-03-21
KR102627458B1 KR102627458B1 (ko) 2024-01-19

Family

ID=61560764

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160118210A KR102627458B1 (ko) 2016-09-13 2016-09-13 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법

Country Status (5)

Country Link
US (1) US10224200B2 (ko)
JP (1) JP7140476B2 (ko)
KR (1) KR102627458B1 (ko)
CN (1) CN107814817A (ko)
TW (1) TWI731109B (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019054068A (ja) * 2017-09-13 2019-04-04 東芝メモリ株式会社 半導体記憶装置及びその製造方法
US10991435B2 (en) * 2017-09-29 2021-04-27 Intel Corporation Vertical flash memory cell with selector for fast read
US10714494B2 (en) * 2017-11-23 2020-07-14 Macronix International Co., Ltd. 3D memory device with silicon nitride and buffer oxide layers and method of manufacturing the same
JP7401928B2 (ja) 2018-07-30 2023-12-20 ユーピー ケミカル カンパニー リミテッド アルミニウム化合物及びこれを使用したアルミニウム含有膜の形成方法
KR20200080478A (ko) 2018-12-26 2020-07-07 삼성전자주식회사 알루미늄 화합물 및 이를 이용한 반도체 소자의 제조 방법
US10847578B1 (en) * 2019-07-03 2020-11-24 Windbond Electronics Corp. Three-dimensional resistive memories and methods for forming the same
US11319449B2 (en) * 2019-12-20 2022-05-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Area selective deposition of metal containing films
KR20220156718A (ko) * 2021-05-18 2022-11-28 삼성전자주식회사 반도체 메모리 소자 및 그의 제조 방법
CN113772704A (zh) * 2021-09-13 2021-12-10 中山大学 一种二维氧化铝粉的制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970010591A (ko) * 1995-08-24 1997-03-27 한승준 썬 바이저의 경고라벨 부착방법
JP2014165496A (ja) * 2013-02-25 2014-09-08 Samsung Electronics Co Ltd アルミニウム前駆体と、それを用いた薄膜及びキャパシタの形成方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3726485A1 (de) * 1987-08-08 1989-02-16 Merck Patent Gmbh Metallorganische verbindungen
US5457173A (en) 1990-10-09 1995-10-10 Lanxide Technology Company, Lp Polymer precursors for aluminum nitride
WO1994012278A1 (de) * 1992-12-01 1994-06-09 Merck Patent Gmbh Koordinations-katalysatorsysteme
DE10010796A1 (de) * 2000-03-08 2001-09-13 Merck Patent Gmbh Katalysatorsysteme für die Ziegler-Natta-Olefin-Polymerisation
TW548239B (en) 2000-10-23 2003-08-21 Asm Microchemistry Oy Process for producing aluminium oxide films at low temperatures
CN1798866A (zh) * 2003-06-05 2006-07-05 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 用氨基铝前体形成含铝薄膜的方法
JP2007507902A (ja) 2003-09-30 2007-03-29 アヴィザ テクノロジー インコーポレイテッド 原子層堆積による高誘電率誘電体の成長
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
KR100696858B1 (ko) 2005-09-21 2007-03-20 삼성전자주식회사 유기 알루미늄 전구체 및 이를 이용한 금속배선 형성방법
KR100724084B1 (ko) 2005-11-16 2007-06-04 주식회사 유피케미칼 디알킬아미도디하이드로알루미늄 화합물을 이용한 박막증착방법
KR100756388B1 (ko) 2006-06-02 2007-09-10 (주)디엔에프 알루미늄증착 전구체 및 그의 제조방법
KR101367141B1 (ko) 2007-08-03 2014-02-25 삼성전자주식회사 유기 금속 전구체, 이를 이용한 박막의 형성 방법 및 금속배선의 제조 방법
KR101770613B1 (ko) 2010-08-25 2017-08-23 삼성전자 주식회사 셀 스트링 및 그를 포함하는 비휘발성 메모리 장치의 제조방법
WO2012097146A1 (en) * 2011-01-14 2012-07-19 W. R. Grace & Co.-Conn. Process of making modified metallocene catalyst, catalyst produced and use thereof
JP6065840B2 (ja) 2011-11-02 2017-01-25 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US8927059B2 (en) 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
US9255324B2 (en) 2012-08-15 2016-02-09 Up Chemical Co., Ltd. Aluminum precursor composition
US8835273B2 (en) 2012-09-19 2014-09-16 Intermolecular, Inc. High temperature ALD process of metal oxide for DRAM applications
KR102093226B1 (ko) 2013-05-20 2020-03-25 (주)디엔에프 규소함유 유기 금속 전구체 화합물, 이의 제조방법 및 이를 이용한 금속-규소 산화물 박막의 제조 방법
US20150146341A1 (en) 2013-11-27 2015-05-28 GlobalFoundries, Inc. ALD dielectric films with leakage-reducing impurity layers
KR102251989B1 (ko) 2014-03-10 2021-05-14 삼성전자주식회사 유기 금속 전구체 및 이를 이용한 박막 형성 방법
KR20160082321A (ko) 2014-12-31 2016-07-08 주식회사 유진테크 머티리얼즈 알루미늄 박막 증착용 전구체 및 이를 이용한 박막 증착 방법
JP2016141631A (ja) 2015-01-30 2016-08-08 日本アルキルアルミ株式会社 トリメチルアルミニウム−ジメチルアルミニウムハイドライド組成物の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970010591A (ko) * 1995-08-24 1997-03-27 한승준 썬 바이저의 경고라벨 부착방법
JP2014165496A (ja) * 2013-02-25 2014-09-08 Samsung Electronics Co Ltd アルミニウム前駆体と、それを用いた薄膜及びキャパシタの形成方法

Also Published As

Publication number Publication date
TW201829430A (zh) 2018-08-16
CN107814817A (zh) 2018-03-20
US20180076024A1 (en) 2018-03-15
JP2018048113A (ja) 2018-03-29
JP7140476B2 (ja) 2022-09-21
KR102627458B1 (ko) 2024-01-19
US10224200B2 (en) 2019-03-05
TWI731109B (zh) 2021-06-21

Similar Documents

Publication Publication Date Title
KR102627458B1 (ko) 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
EP1675194B1 (en) Germanium precursor and method of manufacturing a GST thin layer
US11538991B2 (en) Methods of forming a memory cell comprising a metal chalcogenide material
US10651031B2 (en) Tantalum compound
KR100871692B1 (ko) 저온 증착용 금속 전구체, 그를 사용한 금속 박막 형성방법 및 상변화 메모리 소자 제조 방법
US20090275198A1 (en) Vapor Phase Methods for Forming Electrodes in Phase Change Memory Devices
US7569417B2 (en) Method of forming a phase changeable material layer, a method of manufacturing a phase changeable memory unit, and a method of manufacturing a phase changeable semiconductor memory device
US7727884B2 (en) Methods of forming a semiconductor device including a phase change material layer
JP7017328B2 (ja) Ald薄膜形成用アルミニウム化合物
US10259836B2 (en) Methods of forming thin film and fabricating integrated circuit device using niobium compound
JP2018090586A (ja) スズ化合物、その合成方法、ald用スズ前駆体化合物、及びスズ含有物質膜の形成方法
KR20090029488A (ko) Te 함유 칼코게나이드막 형성 방법 및 상변화 메모리소자 제조 방법
KR20140112306A (ko) 박막 형성 방법 및 이를 이용한 상변화 메모리 소자의 제조 방법
US20090285986A1 (en) Methods of forming a material layer and methods of fabricating a memory device
US20220380390A1 (en) Silicon compounds and methods of manufacturing integrated circuit device using the same
CN111362979A (zh) 铝化合物以及使用其制造半导体器件的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant