TW201829430A - 鋁化合物、使用其形成薄膜之方法與製造積體電路元件之方法 - Google Patents

鋁化合物、使用其形成薄膜之方法與製造積體電路元件之方法 Download PDF

Info

Publication number
TW201829430A
TW201829430A TW106121113A TW106121113A TW201829430A TW 201829430 A TW201829430 A TW 201829430A TW 106121113 A TW106121113 A TW 106121113A TW 106121113 A TW106121113 A TW 106121113A TW 201829430 A TW201829430 A TW 201829430A
Authority
TW
Taiwan
Prior art keywords
aluminum
film
forming
substrate
integrated circuit
Prior art date
Application number
TW106121113A
Other languages
English (en)
Other versions
TWI731109B (zh
Inventor
朴圭熙
林載順
曺侖廷
金銘雲
李相益
李聖德
趙晟佑
Original Assignee
三星電子股份有限公司
Dnf有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 三星電子股份有限公司, Dnf有限公司 filed Critical 三星電子股份有限公司
Publication of TW201829430A publication Critical patent/TW201829430A/zh
Application granted granted Critical
Publication of TWI731109B publication Critical patent/TWI731109B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic System
    • C07F5/06Aluminium compounds
    • C07F5/061Aluminium compounds with C-aluminium linkage
    • C07F5/062Al linked exclusively to C
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic System
    • C07F5/06Aluminium compounds
    • C07F5/061Aluminium compounds with C-aluminium linkage
    • C07F5/064Aluminium compounds with C-aluminium linkage compounds with an Al-Halogen linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic System
    • C07F5/06Aluminium compounds
    • C07F5/061Aluminium compounds with C-aluminium linkage
    • C07F5/065Aluminium compounds with C-aluminium linkage compounds with an Al-H linkage
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/24Electrically-conducting paints
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • H10B63/24Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes of the Ovonic threshold switching type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8413Electrodes adapted for resistive heating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator

Abstract

本發明提供一種由化學式(I)表示的鋁化合物,

Description

鋁化合物、使用其形成薄膜之方法與製造積體電路元件之方法
[相關申請案的交叉參考]
本申請案主張於2016年9月13日在韓國智慧財產局提出申請的韓國專利申請案第10-2016-0118210號的權利,所述韓國專利申請案的揭露內容全文併入本案供參考。
本發明概念的示例性實施例是有關於一種鋁化合物、一種使用所述鋁化合物形成薄膜之方法及/或一種製造積體電路元件之方法,且更具體而言是有關於一種在室溫下為液體的鋁化合物、一種使用所述鋁化合物形成薄膜之方法及/或一種製造積體電路元件之方法。
由於電子技術的發展,近年來半導體元件的尺寸正迅速地按比例縮小,且因此,構成電子元件的圖案的結構變得更複雜化且更精細。因此,需要開發一種能夠藉由在形成含鋁的薄膜時確保熱穩定性而在複雜化且精細的三維結構上將含鋁的薄膜形成為均勻的厚度的原料化合物。
本發明概念的示例性實施例提供一種鋁雜環化合物,所述鋁雜環化合物能夠在使用所述鋁雜環化合物作為源材料來形成含鋁的薄膜時具有提高的台階覆蓋率、熱穩定性及相對高的揮發性,且提供提高的製程穩定性及大規模生產率。
本發明概念的示例性實施例亦提供一種形成可提供提高的台階覆蓋率、製程穩定性及大規模生產率的含鋁的薄膜的方法以及一種製造可提供改善的電性質的積體電路元件的方法。
根據本發明概念的示例性實施例,一種鋁化合物由化學式(I)表示: 化學式(I)其中R1 、R2 、R3 、R4 、R5 、R6 、R7 及R8 分別獨立地為氫原子、鹵素原子、經取代或未經取代的C1 至C7 烷基、經取代或未經取代的C2 至C7 烯基、經取代或未經取代的C2 至C7 炔基或者經取代或未經取代的C4 至C20 芳香族烴基或脂環族烴基。
根據本發明概念的示例性實施例,一種形成薄膜的方法包括使用由化學式(I)表示的鋁化合物在基板上形成含鋁的膜。
根據本發明概念的示例性實施例,一種製造積體電路元件的方法包括:在基板上形成下部結構;以及在約300℃至約600℃的溫度下使用由化學式(I)表示的鋁化合物而在所述下部結構上形成含鋁的膜。
根據本發明概念的示例性實施例,一種形成薄膜的方法包括使用包含鋁及氮的雜環前驅物在基板上形成含鋁的膜,所述雜環前驅物包含具有多於兩個碳原子的雜環。
根據本發明概念的示例性實施例,由於鋁雜環化合物在室溫下呈液態且具有提高的熱穩定性及相對高的揮發性,因此有利於對鋁雜環化合物進行處理及傳輸。因此,鋁雜環化合物適合用作用於製造高度積體電路元件的薄膜形成材料。另外,由於鋁雜環化合物抑制異物(例如在薄膜中殘留的碳殘餘物),因此可獲得品質提高的含鋁的膜。根據本發明概念的示例性實施例,可利用在製程穩定性及大規模生產率方面具有優點的製程條件來形成品質提高的含鋁的膜,且可使用所述含鋁的膜來製造能夠提供改善的電性質的積體電路元件。
在下文中,將參考附圖詳細闡述本發明概念的示例性實施例。於說明書通篇中,相同組件將由相同參考編號標示,且將省略其重複說明。本文所用的用語「室溫」是指介於約20℃至約28℃範圍的溫度且可隨著季節而變化。
根據本發明概念的示例性實施例,一種鋁雜環化合物包含鋁及氮,且鋁雜環化合物的雜環式環具有多於兩個碳原子。舉例而言,鋁雜環化合物可由化學式(I)表示:其中R1 、R2 、R3 、R4 、R5 、R6 、R7 及R8 分別獨立地為氫原子、鹵素原子、經取代或未經取代的C1 至C7 烷基、經取代或未經取代的C2 至C7 烯基、經取代或未經取代的C2 至C7 炔基或者經取代或未經取代的C4 至C20 芳香族烴基或脂環族烴基。
在示例性實施例中,R1 、R2 、R3 、R4 、R5 、R6 、R7 及R8 中的至少一些可為經鹵素原子(例如氟原子)取代的烴基。在示例性實施例中,R1 、R2 、R3 、R4 、R5 、R6 、R7 及R8 分別可為僅僅包含碳原子及氫原子的官能基。上述烷基可為直鏈烷基、支鏈烷基或環狀烷基。直鏈烷基的實例可包括甲基、乙基、丙基、丁基等,但並非僅限於此。支鏈烷基的實例可包括第三丁基,但並非僅限於此。環狀烷基的實例可包括環丙基、環戊基、環己基等,但並非僅限於此。
由化學式(I)表示的鋁雜環化合物可具有約350℃至約550℃的熱分解溫度。
含鋁的膜在半導體元件中用於各種目的,且半導體元件的可靠性可端視含鋁的膜的膜性質而定。舉例而言,當藉由原子層沈積(atomic layer deposition,ALD)製程來形成氧化鋁膜時,需要將用作鋁前驅物的鋁雜環化合物氣化。另外,為形成具有改善的薄膜性質的氧化鋁膜,需要將原子層沈積製程溫度設定為相對高的。此處,若用作鋁前驅物的鋁雜環化合物具有相對低的熱穩定性,則鋁雜環化合物可在相對高的溫度(例如約400℃或高於400℃的溫度)下執行的原子層沈積製程期間被熱分解。因此,可能主要發生化學氣相沈積(chemical vapor deposition,CVD),而非原子層沈積所需要的自我限制反應(self-limiting reaction)。因此,無法獲得具有所期望的膜性質的氧化鋁膜。若將原子層沈積製程中的溫度設定為相對低的以阻止或防止此種問題,則薄膜無法具有不含雜質的提高的品質,且無法滿足在相對高長寬比的情形中所需要的台階覆蓋率。
根據本發明概念示例性實施例的鋁雜環化合物具有約350℃至約550℃的相對高的熱分解溫度。因此,即使在約400℃或高於400℃的溫度下使用根據本發明概念示例性實施例的鋁雜環化合物來執行原子層沈積製程,亦可滿足原子層沈積的沈積性質。另外,可藉由相對高溫製程來形成含鋁的膜,且因此可減少或防止在所獲得的含鋁的膜中殘留所不期望的雜質。因此,含鋁的膜可表現出改善的膜性質,且即使在相對高長寬比的情形中台階覆蓋率亦會提高。
另外,根據本發明概念示例性實施例的鋁雜環化合物表現出足以執行原子層沈積製程的揮發性,且因其熔點相對低而在室溫下呈液態。因此,當在積體電路元件的製造製程中使用鋁雜環化合物時,鋁雜環化合物易於處理且適合作為原子層沈積所用的薄膜形成原料。
在示例性實施例中,在化學式(I)中,R1 與R5 可分別獨立地為C1 至C7 烷基,且R2 、R3 、R4 、R6 、R7 及R8 可分別獨立地為氫原子或C1 至C7 烷基。
在示例性實施例中,根據本發明概念示例性實施例的鋁雜環化合物可由化學式(II)表示: 化學式(II)其中R1 與R5 分別獨立地為C1 至C7 烷基。舉例而言,根據本發明概念示例性實施例的鋁雜環化合物可由化學式(1)表示。 化學式(1)
圖1為根據本發明概念示例性實施例的一種形成薄膜的方法的流程圖。
在圖1所示的製程P12中,製備基板。
在圖1所示的製程P14中,使用包含由化學式(I)表示的鋁雜環化合物的薄膜形成原料在基板上形成含鋁的膜。在示例性實施例中,在用於製程P14中的薄膜形成原料中所包含的鋁雜環化合物可在室溫下為液體。在示例性實施例中,用於製程P14中的鋁雜環化合物可具有約350℃至約550℃的熱分解溫度。在示例性實施例中,鋁雜環化合物可具有由化學式(1)表示的結構。
在示例性實施例中,薄膜形成原料可包含根據本發明概念示例性實施例的鋁雜環化合物中的至少一者,且可不包含其他金屬化合物及半金屬化合物。在示例性實施例中,薄膜形成原料除包含根據本發明概念示例性實施例的鋁雜環化合物外亦可包含含有所期望的金屬或半金屬的化合物(以下被稱為「另一前驅物」)。在示例性實施例中,薄膜形成原料除包含根據本發明概念示例性實施例的鋁雜環化合物外亦可包含有機溶劑或親核試劑。
能夠用於形成薄膜的方法中的所述另一前驅物的實例可包括至少一種Si化合物或至少一種金屬化合物,所述至少一種Si化合物或至少一種金屬化合物包含以下組分中的一者作為配位體:氫化物、氫氧化物、鹵化物、疊氮化物、烷基、烯基、環烷基、烯丙基、炔基、胺基、二烷基胺基烷基、單烷基胺基、二烷基胺基、二胺基、二(矽烷基-烷基)胺基、二(烷基-矽烷基)胺基、二矽烷基胺基、烷氧基、烷氧基烷基、醯肼基、磷化物、腈基、二烷基胺基烷氧基、烷氧基烷基二烷基胺基、矽氧基、二酮酸根(diketonate)、環戊二烯基、矽烷基、吡唑根(pyrazolate)、胍根(guanidinate)、膦胍根(phosphoguanidinate)、脒根(amidinate)、酮亞胺根(ketoiminate)、二酮亞胺根(diketoiminate)、羰基及膦脒根(phosphoamidinate)。
可在根據本發明概念示例性實施例的形成薄膜的方法中用作薄膜形成原料的所述另一前驅物中所包含的金屬可包括Ti、Ta、Mg、Ca、Sr、Ba、Ra、Sc、Y、Zr、Hf、V、Nb、Cr、Mo、W、Mn、Fe、Os、Co、Rh、Ir、Ni、Pd、Pt、Cu、Ag、Au、Zn、Cd、Ga、In、Ge、Sn、Pb、Sb、Bi、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb等。然而,本發明概念並非僅限於以上作為實例陳述的金屬。
包含根據本發明概念示例性實施例的鋁雜環化合物的薄膜形成原料可適合用於原子層沈積製程,且可使用根據本發明概念示例性實施例的鋁雜環化合物作為在形成用於製造積體電路元件中的薄膜的製程中的原子層沈積製程所需要的Al前驅物。
在根據本發明概念示例性實施例的形成薄膜的方法中,可使用由化學式(I)表示的鋁雜環化合物在沈積設備的反應腔室中形成含鋁的膜。舉例而言,為形成含鋁的膜,可向維持於約300℃至約600℃的溫度下的反應腔室中供應鋁雜環化合物。可將反應腔室維持於約10帕至大氣壓的壓力下。在示例性實施例中,為形成含鋁的膜,可向基板上僅供應鋁雜環化合物。在示例性實施例中,為形成含鋁的膜,可向基板上供應多組分原料(其包含鋁雜環化合物與前驅物化合物、反應氣體及有機溶劑中的至少一者的混合物),所述前驅物化合物包含不同於鋁的金屬。向反應腔室中供應氣體一次所用的時間可介於約0.1秒至約100秒的範圍。
當藉由根據本發明概念示例性實施例的形成薄膜的方法來形成氮化鋁膜時,反應氣體可選自NH3 、單烷基胺、二烷基胺、三烷基胺、有機胺化合物、肼化合物及其組合。
當藉由根據本發明概念示例性實施例的形成薄膜的方法來形成氧化鋁膜時,反應氣體可為選自O2 、O3 、電漿O2 、H2 O、NO2 、NO、N2 O(一氧化二氮)、CO2 、H2 O2 、HCOOH、CH3 COOH、(CH3 CO)2 O及其組合中的氧化氣體。
在示例性實施例中,反應氣體可為還原氣體,例如H2
可向基板上同時或依序地供應鋁雜環化合物及反應氣體。
在根據本發明概念示例性實施例的形成薄膜的方法中,用於形成薄膜的基板可包括矽基板、陶瓷基板(例如SiN、TiN、TaN、TiO、RuO、ZrO、HfO或LaO)、玻璃基板、金屬基板(例如釕)等。
圖2為根據本發明概念示例性實施例的一種形成含鋁的膜的示例性方法的流程圖。將參考圖2更詳細地闡述根據圖1所示的製程P14藉由原子層沈積製程來形成含鋁的膜的方法。
參考圖2,在製程P14A中,將包含鋁雜環化合物的源氣體氣化。所述鋁雜環化合物可包括由化學式(I)表示的鋁雜環化合物。
在製程P14B中,藉由在將腔室的內部維持在約300℃至約600℃的溫度下的同時向基板上供應根據製程P14A而獲得的經氣化源氣體,而在基板上形成吸附有Al源的層。可藉由向基板上供應經氣化源氣體而在基板上形成包含所述源氣體的化學吸附層及物理吸附層的吸附有Al源的層。
在製程P14C中,藉由在將腔室的內部維持在約300℃至約600℃的溫度下的同時向基板上供應吹洗氣體,而移除基板上的所不期望的副產物。吹洗氣體可包括例如惰性氣體(例如Ar、He或Ne)、N2 氣體等。
在製程P14D中,在將腔室的內部維持在約300℃至約600℃的溫度下的同時向在基板上形成的吸附有Al源的層上供應反應氣體。
當將氮化鋁膜形成為含鋁的膜時,反應氣體可為NH3 、單烷基胺、二烷基胺、三烷基胺、有機胺化合物、肼化合物及其組合中的一者。當將氧化鋁膜形成為含鋁的膜時,反應氣體可為包含O2 、O3 、電漿O2 、H2 O、NO2 、NO、N2 O、CO2 、H2 O2 、HCOOH、CH3 COOH、(CH3 CO)2 O及其組合中的一者的氧化氣體。在示例性實施例中,反應氣體可為還原氣體,例如H2
在製程P14E中,藉由向基板上供應吹洗氣體而移除所述基板上的所不期望的副產物。
在執行製程P14E之後,可執行對含鋁的膜進行退火的製程。可在較製程P14B至製程P14E中所使用的製程溫度高的溫度下執行退火。舉例而言,可在選自約500℃至約1150℃範圍的溫度下執行退火。在示例性實施例中,可在氮氣氣氛中執行所述退火。如上所述,藉由執行退火製程,含鋁的膜可被緻密化,且可藉由移除含鋁的膜中的雜質而表現出膜性質改善。舉例而言,當藉由圖2所示製程將氧化鋁膜形成為含鋁的膜時,氧化鋁膜可因藉由退火製程使其緻密化而引起收縮,且因此可具有增大的密度。
已參考圖2加以闡述的形成含鋁的膜的方法僅為實例,且可在不背離本發明概念示例性實施例的精神及範圍的條件下對所述方法做出各種潤飾及變化。
為藉由根據本發明概念示例性實施例的形成薄膜的方法在基板上形成含鋁的膜,可向基板上同時或依序地供應由化學式(I)表示的鋁雜環化合物以及另一前驅物、反應氣體、載氣及吹洗氣體中的至少一者。在示例性實施例中,鋁雜環化合物可由化學式(II)表示,且此處,R1 與R5 可分別獨立地為C1 至C7 烷基。舉例而言,鋁雜環化合物可由化學式(1)表示。
根據本發明概念的示例性實施例,當藉由原子層沈積製程來形成含鋁的膜時,可對原子層沈積循環的數目進行調整以將含鋁的膜控制至所期望的厚度。
舉例而言,當藉由原子層沈積製程來形成含鋁的膜時,可施加能量(例如電漿、光、電壓等)。用於施加所述能量的時間點可以各種方式加以選擇。舉例而言,用於施加所述能量的時間點可為以下時間點:將包含鋁雜環化合物的源氣體引入至反應腔室中的時間點、將源氣體吸附至基板上的時間點、使用吹洗氣體執行排氣製程的時間點、將反應氣體引入至反應腔室中的時間點或在該些時間點之間,可施加所述能量(例如,電漿、光、電壓等)。
根據本發明概念示例性實施例的形成薄膜的方法可更包括:在使用由化學式(I)表示的鋁雜環化合物形成含鋁的膜之後,對含鋁的膜在惰性氣氛、氧化氣氛或還原氣氛中進行退火的製程。另外,為填充在含鋁的膜的表面上形成的台階,形成薄膜的方法可視需要更包括使含鋁的膜回流(reflow)的製程。可在選自約200℃至約1150℃範圍的溫度下執行退火製程及回流製程中的每一者,但並非僅限於此。
根據所述形成薄膜的方法,可對用於形成薄膜的根據本發明概念示例性實施例的鋁雜環化合物、與鋁雜環化合物一起使用的所述另一前驅物、反應氣體及條件進行適當選擇,藉此形成各種含鋁的膜。
在示例性實施例中,藉由根據本發明概念示例性實施例的形成薄膜的方法而形成的含鋁的膜可包括由Al2 O3 表示的氧化鋁膜、由AlN表示的氮化鋁膜、鋁合金膜、包含鋁合金的複合氧化物膜等。在示例性實施例中,複合氧化物膜可包含碳原子。複合氧化物膜中所包含的碳原子可源自由化學式(I)表示的鋁雜環化合物中所包含的碳原子。儘管複合氧化物膜可包含Ti與Al的複合氧化物膜、Ta與Al的複合氧化物膜等,但本發明概念並非僅限於上述實例。
藉由根據本發明概念示例性實施例的形成薄膜的方法而製造的含鋁的膜可用於各種目的。舉例而言,含鋁的膜可用於三維電荷擷取快閃(charge trap flash,CTF)胞元中所包括的閘極介電膜的穿遂障壁、電晶體的閘極、金屬線(例如銅線)中所包括的導電障壁膜、電容器的介電膜、液晶的障壁金屬膜、薄膜太陽電池的構件、半導體儀器的構件、奈米結構等,但並非僅限於此。
圖3A至圖3H為剖視圖,其說明根據本發明概念示例性實施例的製造積體電路元件的順序製程。將參考圖3A至圖3H來闡述一種製造構成垂直非揮發性記憶體元件的積體電路元件100(參見圖3H)的記憶體胞元陣列的方法。
參考圖3A,在基板110上形成蝕刻終止絕緣膜122,且在蝕刻終止絕緣膜122上逐層地交替地堆疊多個犧牲層P124及多個絕緣層126。最上部的絕緣層126的厚度可大於另一絕緣層126的厚度。
基板110可包含半導體(例如Si或Ge)或化合物半導體(例如SiC、GaAs、InAs或InP)。基板110可包括半導體基板及位於所述半導體基板上的包括至少一個絕緣膜或至少一個導電區的結構。
蝕刻終止絕緣膜122及所述多個絕緣層126可包含絕緣材料,例如氧化矽。所述多個犧牲層P124可包含蝕刻選擇性與蝕刻終止絕緣膜122及所述多個絕緣層126的蝕刻選擇性不同的材料。舉例而言,所述多個犧牲層P124可包括氮化矽膜、氮氧化矽膜、多晶矽膜或多晶矽鍺膜。
參考圖3B,形成多個通道孔130,所述多個通道孔130穿過所述多個絕緣層126、所述多個犧牲層P124及蝕刻終止絕緣膜122並暴露出基板110。
參考圖3C,依序形成電荷儲存膜132及穿遂介電膜134,且電荷儲存膜132及穿遂介電膜134覆蓋所述多個通道孔130中的每一者的內壁,並且形成通道區140,且通道區140覆蓋穿遂介電膜134。
電荷儲存膜132可包括氮化矽膜。穿遂介電膜134可包括氧化矽膜。通道區140可包括半導體層,例如Si層。通道區140可不完全填充每一通道孔130的內部。絕緣膜142可填充每一通道孔130中通道區140上方剩餘的空間。
部分地移除所述多個通道孔130中的電荷儲存膜132、穿遂介電膜134、通道區140及絕緣膜142,藉此在所述多個通道孔130中的每一者中形成上部空間,且導電圖案150可填充所述多個通道孔130中的每一者中的上部空間。導電圖案150可包含經摻雜多晶矽。導電圖案150可用作汲極區。
參考圖3D,形成多個開口160,所述多個開口160穿過所述多個絕緣層126、所述多個犧牲層P124及蝕刻終止絕緣膜122並暴露出基板110。
所述多個開口160中的每一者可為字元線切割區。
參考圖3E,自所述多個開口160移除所述多個犧牲層P124,藉此在所述多個絕緣層126中的兩個絕緣層之間形成多個閘極空間GS。可藉由所述多個閘極空間GS暴露出電荷儲存膜132。
參考圖3F,形成阻擋絕緣膜136且阻擋絕緣膜136覆蓋所述多個閘極空間GS的內壁。
阻擋絕緣膜136可包括氧化鋁膜。為形成阻擋絕緣膜136,可利用所述形成薄膜的方法,所述方法已參考圖1或圖2加以闡述。在示例性實施例中,為形成阻擋絕緣膜136,可利用原子層沈積製程。此處,作為Al源,可經由所述多個開口160供應由化學式(I)表示的鋁雜環化合物(例如由化學式(1)表示的鋁雜環化合物)。可在選自約300℃至約600℃範圍的第一溫度下執行原子層沈積製程。在形成氧化鋁膜之後,可藉由在較第一溫度高的第二溫度下對氧化鋁膜進行退火而使所述氧化鋁膜緻密化。第二溫度可選自約500℃至約1150℃的範圍。
參考圖3G,形成閘極電極的導電層,且所述導電層填充被阻擋絕緣膜136環繞的空間及在所述多個閘極空間GS中剩餘的空間,然後部分地移除阻擋絕緣膜136及閘極電極的導電層,以在所述多個開口160中暴露出所述多個絕緣層126中的每一者的側壁,藉此在所述多個開口160中保留阻擋絕緣膜136及閘極電極164。
依序形成於通道區140上且形成於通道區140與閘極電極164之間的穿遂介電膜134、電荷儲存膜132及阻擋絕緣膜136可構成閘極介電膜138。
在示例性實施例中,閘極電極164可包括接觸阻擋絕緣膜136的第一導電障壁膜及位於所述第一導電障壁膜上的第一導電膜。第一導電障壁膜可包含導電金屬氮化物,例如TiN或TaN。第一導電膜可包含導電多晶矽、金屬、金屬矽化物或其組合。
阻擋絕緣膜136可包括不含所不期望的異物(例如碳殘餘物)的氧化鋁膜。如參考圖3F所述,對氧化鋁膜進行退火且因此使氧化鋁膜緻密化,藉此減少或防止各種問題(例如對填充閘極空間GS的閘極電極164的構成材料的損害),所述問題的原因在於,在圖3G所示製程中部分地移除阻擋絕緣膜136及閘極電極的導電層以暴露出所述多個絕緣層126中的每一者的側壁的同時,因過度暴露至蝕刻氣氛而消耗過量的阻擋絕緣膜136、抑或在所述多個閘極空間GS的入口側處的阻擋絕緣膜136因蝕刻氣氛而遭受所不期望地移除。
在所述多個閘極空間GS中的每一者中僅保留阻擋絕緣膜136及閘極電極164之後,可藉由所述多個開口160暴露出基板110。可藉由將雜質植入被所述多個開口160暴露出的基板110中,而在基板110中形成多個共用源極區168。
參考圖3H,在所述多個開口160中的每一者的內側壁上形成絕緣間隔壁172,且導電插塞174填充所述多個開口160中的每一者的內部空間。
在示例性實施例中,絕緣間隔壁172可包括氧化矽膜、氮化矽膜或其組合。導電插塞174可包括第二導電障壁膜及第二導電膜,所述第二導電障壁膜與絕緣間隔壁172接觸,所述第二導電膜填充所述多個開口160中的每一者中被所述第二導電障壁膜環繞的空間。第二導電障壁膜可包含導電金屬氮化物,例如TiN或TaN。第二導電膜可包含金屬,例如鎢。
可在多個導電插塞174上形成多個第一觸點182,且可分別在所述多個第一觸點182上形成多個第一導電層184。所述多個第一觸點182及所述多個第一導電層184中的每一者可包含金屬、金屬氮化物或其組合。
可在多個導電圖案150上形成多個第二觸點192及多個位元線194。所述多個第二觸點192及所述多個位元線194中的每一者可包含金屬、金屬氮化物或其組合。
根據已參考圖3A至圖3H加以闡述的製造積體電路元件100的方法,在用於形成包含氧化鋁的阻擋絕緣膜136的原子層沈積製程中使用根據本發明概念示例性實施例的鋁雜環化合物,藉此在進行原子層沈積製程時確保原料化合物所需要的性質,例如相對高的熱穩定性、相對低的熔點、相對高的蒸氣壓力、在液態中的可運輸性、容易氣化等。因此,可使用根據本發明概念示例性實施例的鋁雜環化合物而更輕易地形成具有所期望性質的阻擋絕緣膜136。另外,可獲得沿著具有相對高長寬比的孔的深度具有均勻台階覆蓋率的阻擋絕緣膜136。
圖4A至圖4C為剖視圖,其說明可在製造積體電路元件100的方法中用來代替圖3G及圖3H中所示的閘極介電膜138的其他閘極介電膜138A、閘極介電膜138B及閘極介電膜138C的示例性結構,所述方法已參考圖3A至圖3H加以闡述。
在示例性實施例中,圖3H所示積體電路元件100可包括圖4A所示閘極介電膜138A來代替閘極介電膜138。可在閘極空間GS中形成閘極介電膜138A,且閘極介電膜138A可覆蓋閘極電極164的面對通道區140的表面以及閘極電極164的面對絕緣層126的表面。閘極介電膜138A可包括依序形成於通道區140上且形成於閘極空間GS的位於通道區140與閘極電極164之間的一部分中的穿遂介電膜134A、電荷儲存膜132A及阻擋絕緣膜136A。可藉由參考圖3F所述的形成阻擋絕緣膜136的相同的方法來形成阻擋絕緣膜136A。
在示例性實施例中,圖3H所示的積體電路元件100可包括圖4B所示的閘極介電膜138B來代替閘極介電膜138。閘極介電膜138B可夾置於閘極空間GS的位於通道區140與閘極電極164之間的一部分中,且覆蓋閘極電極164的面對通道區140的表面。閘極介電膜138B可包括依序形成於通道區140上且形成於通道區140與閘極電極164之間的穿遂介電膜134B、電荷儲存膜132B及阻擋絕緣膜136B。可藉由參考圖3F所述的形成阻擋絕緣膜136的相同的方法來形成阻擋絕緣膜136B。
在示例性實施例中,圖3H所示的積體電路元件100可包括圖4C的所示閘極介電膜138C來代替閘極介電膜138。閘極介電膜138C可位於閘極電極164與通道區140之間以覆蓋閘極電極164的面對通道區140的表面,且可沿著通道區140的長度方向在通道孔130中平行於通道區140延伸。閘極介電膜138C可包括依序形成於通道區140上且形成於通道孔130的內部的位於通道區140與閘極電極164之間的一部分中的穿遂介電膜134C、電荷儲存膜132C及阻擋絕緣膜136C。可藉由參考圖3F所述的形成阻擋絕緣膜136的相同的方法來形成阻擋絕緣膜136C。
圖4A至圖4C所示的穿遂介電膜134A、穿遂介電膜134B及穿遂介電膜134C、電荷儲存膜132A、電荷儲存膜132B、電荷儲存膜132C以及阻擋絕緣膜136A、阻擋絕緣膜136B及阻擋絕緣膜136C的說明實質上相同於已參考圖3A至圖3H加以闡述的穿遂介電膜134、電荷儲存膜132及阻擋絕緣膜136的說明。
圖5A至圖5J為剖視圖,其說明根據本發明概念示例性實施例的製造積體電路元件200(參見圖5J)的順序製程。
參考圖5A,在包括多個主動區AC的基板110上形成層間介電質220,然後形成多個導電區224,所述多個導電區224穿透層間介電質220且分別連接至所述多個主動區AC。
所述多個主動區AC可由多個元件隔離區212界定。層間介電質220可包括氧化矽膜。所述多個導電區224可包含多晶矽、金屬、導電金屬氮化物、金屬矽化物或其組合。
參考圖5B,形成絕緣層228,且絕緣層228覆蓋層間介電質220及所述多個導電區224。
絕緣層228可用作蝕刻終止層。絕緣層228可包含相對於層間介電質220及在後續製程中形成的模具膜(mold film)230(參見圖5C)具有蝕刻選擇性的絕緣材料。在示例性實施例中,絕緣層228可包含氮化矽、氮氧化矽或其組合。
參考圖5C,在絕緣層228上形成模具膜230。模具膜230可包括氧化物膜。在示例性實施例中,模具膜230可包括支撐膜(圖中未示出)。所述支撐膜可包含相對於模具膜230具有蝕刻選擇性的材料。
參考圖5D,在模具膜230上依序形成犧牲膜242及遮罩圖案244。
犧牲膜242可包括氧化物膜。犧牲膜242可保護模具膜230中所包括的支撐膜。遮罩圖案244可包括氧化物膜、氮化物膜、多晶矽膜、光阻劑膜或其組合。欲在其中形成電容器的下部電極的區可由遮罩圖案244界定。
參考圖5E,使用遮罩圖案244作為蝕刻遮罩且使用絕緣層228作為蝕刻終止層來對犧牲膜242及模具膜230進行乾式蝕刻,藉此形成界定多個孔H1的犧牲圖案242P及模圖案(mold pattern)230P。此處,絕緣層228亦可因過度蝕刻而受到蝕刻,藉此可形成絕緣圖案228P且絕緣圖案228P可暴露出所述多個導電區224。
參考圖5F,自圖5E所示所得結構移除遮罩圖案244,然後形成下部電極形成用導電膜250,下部電極形成用導電膜250覆蓋所述多個孔H1中每一者的內側壁、絕緣圖案228P的被暴露表面、所述多個孔H1內部的所述多個導電區224中每一者的被暴露表面以及犧牲圖案242P的被暴露表面。
可在所述多個孔H1的側壁上共形地形成下部電極形成用導電膜250,以使得所述多個孔H1中每一者的內部空間部分地保留。
下部電極形成用導電膜250可包含經摻雜半導體、導電金屬氮化物、金屬、金屬矽化物、導電氧化物或其組合。舉例而言,下部電極形成用導電膜250可包含TiN、TiAlN、TaN、TaAlN、W、WN、Ru、RuO2 、SrRuO3 、Ir、IrO2 、Pt、PtO、BSRO((Ba,Sr)RuO3 )、CRO(CaRuO3 )、LSCO((La,Sr)CoO3 )或其組合。為形成下部電極形成用導電膜250,可利用化學氣相沈積製程、金屬有機化學氣相沈積(metal organic CVD,MOCVD)製程或原子層沈積製程。
參考圖5G,部分地移除下部電極形成用導電膜250的上側,藉此將下部電極形成用導電膜250劃分成多個下部電極LE。
為形成所述多個下部電極LE,可利用回蝕製程或化學機械研磨(chemical mechanical polishing,CMP)製程移除下部電極形成用導電膜250的上側的一部分及犧牲圖案242P(參見圖5F),以暴露出模圖案230P的頂表面。
參考圖5H,移除模圖案230P,藉此暴露出具有圓柱形狀的所述多個下部電極LE的外壁。
參考圖5I,在所述多個下部電極LE上形成介電膜260。
介電膜260可共形地覆蓋所述多個下部電極LE的被暴露表面。介電膜260可包括氧化鋁膜。可藉由原子層沈積製程來形成介電膜260。為形成介電膜260,可利用根據本發明概念示例性實施例的所述形成薄膜的方法,所述方法已參考圖1或圖2加以闡述。
在示例性實施例中,介電膜260可包括氧化鋁膜的單個層。在示例性實施例中,介電膜260可包括至少一個氧化鋁膜與至少一個選自氧化鉭膜及氧化鋯膜的高介電常數介電膜的組合。
為藉由原子層沈積製程形成構成介電膜260的氧化鋁膜,可使用由化學式(I)表示的鋁雜環化合物(例如由化學式(1)表示的鋁雜環化合物)作為Al源。用於形成介電膜260的原子層沈積製程可在約300℃至約600℃下執行。在形成介電膜260之後,可在約500℃至約1150℃的溫度下對介電膜260進行退火。
參考圖5J,在介電膜260上形成上部電極UE。下部電極LE、介電膜260及上部電極UE可構成電容器270。
上部電極UE可包含經摻雜半導體、導電金屬氮化物、金屬、金屬矽化物、導電氧化物或其組合。為形成上部電極UE,可利用化學氣相沈積製程、金屬有機化學氣相沈積製程、物理氣相沈積製程或原子層沈積製程。
在已參考圖5A至圖5J加以闡述的製造積體電路元件200的方法中,可形成不具有內部空間的支柱型下部電極(pillar-type lower electrode)來代替圓柱形下部電極LE,且可在支柱型下部電極上形成介電膜260。
在已參考圖5A至圖5J加以闡述的製造積體電路元件200的方法中,電容器270包括具有三維電極結構的下部電極LE以增大電容器270的電容。為補償因設計規則的減少而造成的電容的減小,增大了三維結構化下部電極LE的長寬比。根據製造積體電路元件200的方法,當藉由原子層沈積製程在下部電極LE上形成介電膜260時,可易於將用於形成介電膜260的鋁雜環化合物一直遞送至具有相對高長寬比的三維結構的下部部分。因此,可在具有相對高長寬比的下部電極LE上形成表現出台階覆蓋率提高的介電膜260。
圖6A至圖6D為剖視圖,其說明根據本發明概念示例性實施例的製造積體電路元件300(參見圖6D)的順序製程。
參考圖6A,在基板110上形成導電圖案312,且在導電圖案312上形成具有孔314H的層間介電圖案314。可藉由孔314H暴露出導電圖案312的一部分。
導電圖案312可為源極/汲極區、閘極電極或配線層。層間介電圖案314可包括包含氧化矽膜、氮化矽膜或其組合的單個層或多個層。
參考圖6B,在導電圖案312的被暴露表面及層間介電圖案314的被暴露表面上形成導電障壁膜320,且導電障壁膜320覆蓋孔314H的內壁。
導電障壁膜320可包括氮化鋁膜。為形成導電障壁膜320,可利用原子層沈積製程。為形成導電障壁膜320,可利用根據本發明概念示例性實施例的所述形成薄膜的方法,所述方法已參考圖1或圖2加以闡述。為藉由原子層沈積製程形成構成導電障壁膜320的氮化鋁膜,可使用由化學式(I)表示的鋁雜環化合物(例如由化學式(1)表示的鋁雜環化合物)作為Al源。用於形成導電障壁膜320的原子層沈積製程可在約300℃至約600℃下執行。在形成導電障壁膜320之後,可在約500℃至約1150℃的溫度下對導電障壁膜320進行退火。
參考圖6C,在導電障壁膜320上形成配線層330,配線層330具有足夠的厚度以填充孔314H(參見圖6B)。
配線層330可包含金屬,例如鎢或銅。
參考圖6D,利用回蝕、化學機械研磨或其組合來移除導電障壁膜320及配線層330的所不期望的部分,藉此在孔314H(參見圖6B)中保留導電障壁膜320及配線層330。
根據已參考圖6A至圖6D加以闡述的製造積體電路元件300的方法,可藉由減少構成導電障壁膜320的氮化鋁膜中的雜質量來提供膜品質提高的導電障壁膜320,藉此提高積體電路元件300的可靠性。
圖7A至圖7C為用於闡釋根據本發明概念示例性實施例的一種製造積體電路元件的方法的圖,且具體而言,圖7A為積體電路元件400的平面圖,圖7B為圖7A所示積體電路元件400的立體圖,且圖7C示出分別沿圖7A所示線X-X'及線Y-Y'截取的積體電路元件400的剖視圖。
參考圖7A至圖7C,積體電路元件400包括自基板110突出的鰭型主動區FA。
鰭型主動區FA可沿一個方向(圖7A及圖7B中的Y方向)延伸。在基板110上形成元件隔離膜402,且元件隔離膜402覆蓋鰭型主動區FA的下側壁。鰭型主動區FA自元件隔離膜402向上突出成鰭形狀。在示例性實施例中,元件隔離膜402可包括氧化矽膜、氮化矽膜、氮氧化矽膜或其組合。
在基板110上的鰭型主動區FA上,閘極結構420可在與鰭型主動區FA的延伸方向相交的方向(X方向)上延伸。可在鰭型主動區FA中在閘極結構420的兩側形成一對源極/汲極區430。
所述一對源極/汲極區430可包括磊晶生長於鰭型主動區FA上的半導體層。所述一對源極/汲極區430中的每一者可包括嵌式SiGe結構,所述嵌式SiGe結構包括多個磊晶生長SiGe層、磊晶生長Si層或磊晶生長SiC層。所述一對源極/汲極區430並非僅限於圖7B所示的示例性形狀,而是可具有各種形狀。
可在鰭型主動區FA與閘極結構420的相交處形成金屬氧化物半導體電晶體TR。金屬氧化物半導體電晶體TR可包括在鰭型主動區FA的頂表面及兩個側表面上形成有通道的三維結構化金屬氧化物半導體電晶體。金屬氧化物半導體電晶體TR可構成N型金屬氧化物半導體電晶體或P型金屬氧化物半導體電晶體。
如圖7C所示,閘極結構420可包括依序形成於鰭型主動區FA的表面上的界面層412、高介電常數介電膜414、第一含金屬層426A、第二含金屬層426B及間隙填充金屬層428。閘極結構420的第一含金屬層426A、第二含金屬層426B及間隙填充金屬層428可構成閘極電極420G。
可在閘極結構420的兩個側表面上形成絕緣間隔壁442。以層間介電質444來覆蓋絕緣間隔壁442。界面層412可覆蓋鰭型主動區FA的表面,且可包含絕緣材料,例如氧化物膜、氮化物膜或氮氧化物膜。高介電常數介電膜414可包含介電常數高於氧化矽膜的材料。舉例而言,高介電常數介電膜414可具有約10至約25的介電常數。高介電常數介電膜414可包含金屬氧化物或金屬氮氧化物。
第一含金屬層426A可包含P型功函數導電材料,例如TiN。第二含金屬層426B可包含N型功函數導電材料,例如N型金屬氧化物半導體電晶體所需要的包含含有Ti或Ta的Al化合物的N型含金屬層。第二含金屬層426B可包括包含碳原子的含Al的膜。舉例而言,第二含金屬層426B可包含TiAlC、TiAlCN、TaAlC、TaAlCN、TiAl、TiAlN、TaAlN或其組合。
為形成第二含金屬層426B,可利用所述形成薄膜的方法,所述方法已參考1或圖2加以闡述。為形成第二含金屬層426B,可利用原子層沈積製程。此處,可使用由化學式(I)表示的鋁雜環化合物(例如由化學式(1)表示的鋁雜環化合物)作為Al源。可在約300℃至約600℃下執行用於形成第二含金屬層426B的原子層沈積製程。在示例性實施例中,在形成第二含金屬層426B之後,可在約500℃至約1150℃的溫度下對第二含金屬層426B進行退火。
第二含金屬層426B以及第一含金屬層426A可調整閘極結構420的功函數,藉此調整閘極結構420的臨限電壓。
當藉由替代金屬閘極(replacement metal gate,RMG)製程來形成閘極結構420時,間隙填充金屬層428可填充第二含金屬層426B上方剩餘的閘極空間。若在形成第二含金屬層426B之後在第二含金屬層426B上方不存在剩餘的閘極空間,則間隙填充金屬層428可被省略而不形成於第二含金屬層426B上。間隙填充金屬層428可包含W、金屬氮化物(例如TiN或TaN)、Al、金屬碳化物、金屬矽化物、金屬碳化鋁、金屬氮化鋁或金屬氮化矽。
當藉由已參考圖7A至圖7C加以闡述的根據本發明概念示例性實施例的方法來製造積體電路元件400時,使用根據本發明概念示例性實施例的鋁雜環化合物來形成第二含金屬層426B,藉此提高積體電路元件400的可靠性。
圖8為用於闡釋根據本發明概念示例性實施例的一種製造積體電路元件的方法的立體圖。圖8所示積體電路元件500可構成電阻式記憶體元件。
參考圖8,積體電路元件500可包括位於基板110上的層間介電質502,且包括依序形成於層間介電質502上的多個第一電極線510、多個電阻式記憶體胞元MC及多個第二電極線520。
層間介電質502可包括氧化矽膜、氮化矽膜或其組合。
所述多個第一電極線510可在第一方向(X方向)上在基板110上彼此平行延伸。所述多個第二電極線520可在與第一方向相交的第二方向(Y方向)上在所述多個第一電極線510上方彼此平行延伸。所述多個第一電極線510可為多個字元線,且所述多個第二電極線520可為多個位元線或反之亦然。
所述多個第一電極線510及所述多個第二電極線520中的每一者可包含金屬、導電金屬氮化物、導電金屬氧化物或其組合。舉例而言,所述多個第一電極線510及所述多個第二電極線520中的每一者可包含Al、TiAlN或其組合。為形成所述多個第一電極線510及所述多個第二電極線520,可利用已參考圖1或圖2加以闡述的所述形成薄膜的方法。在示例性實施例中,為形成所述多個第一電極線510及所述多個第二電極線520,可利用原子層沈積製程。此處,可使用由化學式(I)表示的鋁雜環化合物(例如由化學式(1)表示的鋁雜環化合物)作為Al源。可在選自約300℃至約600℃範圍的第一溫度下執行原子層沈積製程。
所述多個第一電極線510及所述多個第二電極線520可更包括導電障壁膜(圖中未示出)。導電障壁膜可包含Ti、TiN、Ta、TaN或其組合。
所述多個電阻式記憶體胞元MC可排列於所述多個第一電極線510與所述多個第二電極線520之間且位於所述多個第一電極線510與所述多個第二電極線520的相交處。所述多個電阻式記憶體胞元MC可在第一方向及第二方向上彼此間隔開。所述多個電阻式記憶體胞元MC中的每一者可包括下部電極層542、選擇元件層544、中間電極層546、加熱電極層552、電阻層554及上部電極層556。
電阻層554可包含端視加熱時間而在非晶相與結晶相之間可逆變化的相變材料。舉例而言,電阻層554可包含能夠因焦耳熱(Joule heat)而具有可逆的相變且因此種相變而具有電阻變化的材料,所述焦耳熱是藉由施加至電阻層554的兩端的電壓而產生。具體而言,相變材料可在具有非晶相時處於相對高電阻狀態,且可在具有結晶相時處於相對低電阻狀態。相對高電阻狀態被定義為「0」,且相對低電阻狀態被定義為「1」,藉此可將資料儲存於電阻層554中。電阻層554可包含硫屬化物材料作為相變材料。舉例而言,電阻層554可包含Ge-Sb-Ts(GST)。電阻層554可更包含至少一種選自硼(B)、碳(C)、氮(N)、氧(O)、磷(P)及硫(S)中的雜質。可藉由所述至少一種雜質來改變積體電路元件500的驅動電流。另外,電阻層554可更包含金屬。當電阻層554包含過渡金屬氧化物時,積體電路元件500可為電阻式隨機存取記憶體(resistive RAM,ReRAM)。
選擇元件層544可為能夠對電流的流動進行控制的電流調整層。選擇元件層544可包括能夠端視施加至選擇元件層544的兩端的電壓振幅而具有電阻變化的材料層。舉例而言,選擇元件層544可包含雙向定限開關(ovonic threshold switching,OTS)材料。選擇元件層544可包含硫屬化物開關材料作為雙向定限開關材料。
加熱電極層552可排列於中間電極層546與電阻層554之間且接觸電阻層554。加熱電極層552可在設定操作或重置操作中對電阻層554進行加熱。加熱電極層552可包含高熔點金屬或其氮化物,包括TiN、TiSiN、TiAlN、TaSiN、TaAlN、TaN、WSi、WN、TiW、MoN、NbN、TiBN、ZrSiN、WSiN、WBN、ZrAlN、MoAlN、TiAl、TiON、TiAlON、WON、TaON、C、SiC、SiCN、CN、TiCN、TaCN或其組合。
下部電極層542、中間電極層546及上部電極層556中的每一者可包含Al、TiAlN或其組合。下部電極層542、中間電極層546及上部電極層556中的至少一者可藉由已參考1或圖2加以闡述的所述形成薄膜的方法來形成。在示例性實施例中,為形成下部電極層542、中間電極層546及上部電極層556中的至少一者,可利用原子層沈積製程。此處,可使用由化學式(I)表示的鋁雜環化合物(例如由化學式(1)表示的鋁雜環化合物)作為Al源。可在選自約300℃至約600℃範圍的第一溫度下執行原子層沈積製程。
可在所述多個第一電極線510之間排列第一絕緣層572。可在所述多個電阻式記憶體胞元MC之間排列第二絕緣層574。可在所述多個第二電極線520之間排列第三絕緣層576。
以下,將參考一些實例更詳細地闡釋根據本發明概念示例性實施例的鋁雜環化合物及形成薄膜的方法。然而,本發明概念並非僅限於以下實例。
實例1
由化學式(1)表示的鋁雜環化合物[(CH3 )N(CH2 CH2 CH2 )2 Al(CH3 )]的合成
對二氯甲基鋁(methyldichloroaluminum)(41克,0.37莫耳)進行了熔融,然後在室溫下向二氯甲基鋁中添加了3-鎂氯-N-(3-鎂丙基)-N-甲基丙-1-胺(400毫升,1 N)在四氫呋喃(tetrahydrofuran,THF)中的溶液。接下來,在室溫下將所述組分攪拌了17小時。在反應完成之後,在減壓下移除了溶劑及揮發性副產物,然後執行真空蒸餾(32℃,0.54托),藉此獲得了35克由化學式(1)表示的化合物(產率61%)。
(分析)
氫譜核磁共振(1 hydrogen-nuclear magnetic resonance,1 H-NMR)(溶劑:苯-d6,ppm)δ -0.51(3H, s, CH3 Al), 0.18(4H, t, CH2 AlCH2 ), 1.61(4H, m, CH2 CH2 AlCH2 CH2 ), 1.79(3H, s, CH3 N), 1.82(2H, m, CHNCH), 2.08(2H, m, CH’NCH’)
評估
由化學式(1)表示的鋁雜環化合物的性質評估
圖9為圖表,其繪示由化學式(1)表示且在實例1中獲得的鋁雜環化合物的差示掃描熱量法(DSC)分析的結果。
如自圖9所示結果可見,直至約400℃才觀察到由化學式(1)表示的鋁雜環化合物的熱分解峰,且確認到在約405℃下發生了鋁雜環化合物的熱分解。
作為比較例,以相同的方式對三甲基鋁(trimethylaluminum,TMA)進行了差示掃描熱量法分析,且結果確認到在約237℃下發生了三甲基鋁的熱分解。自此結果可見,由化學式(1)表示的鋁雜環化合物具有提高的熱穩定性。
圖10為圖表,其繪示藉由在氬氣氣氛中在10℃/分鐘的加熱速率下對10毫克的由化學式(1)表示的鋁雜環化合物執行熱重量分析(TGA)而獲得的結果。
圖10示出由化學式(1)表示的鋁雜環化合物的重量損失百分比隨溫度的變化。如自圖10可見,由化學式(1)表示的鋁雜環化合物表現出迅速氣化,且因熱分解而在約170℃下氣化達99%或大於99%而無殘餘物。
圖11為圖表,其繪示由化學式(1)表示的鋁雜環化合物的隨著溫度而變化的蒸氣壓力的量測結果。
自圖11所示結果確認到,由化學式(1)表示的鋁雜環化合物在50℃下具有約1托的蒸氣壓力。
實例2
氧化鋁膜的形成
藉由原子層沈積製程使用由化學式(1)表示的鋁雜環化合物作為原料,在矽基板上形成了氧化鋁膜,所述鋁雜環化合物是在實例1中合成。此處,使用臭氧氣體作為反應氣體,且使用氬氣作為吹洗氣體。在形成氧化鋁膜期間將基板維持在350℃至600℃的溫度下。
為形成氧化鋁膜,當將以下一系列製程(1)至製程(4)定義為1個循環時,重複了200個循環。
製程(1):藉由將鋁雜環化合物的蒸氣引入反應腔室中達8秒而將鋁雜環化合物的蒸氣沈積至維持在350℃至600℃下的基板上的製程,所述鋁雜環化合物的蒸氣是藉由在鋁雜環化合物的罐的加熱溫度為80℃的條件下將鋁雜環化合物氣化而獲得。
製程(2):藉由使用以3000標準毫升/分鐘的流速所供應的氬氣氣體來執行吹洗10秒而移除未反應的原料的製程。
製程(3):藉由以300標準毫升/分鐘的流速將作為反應氣體的臭氧氣體引入反應腔室中而執行反應14秒的製程。
製程(4):藉由使用以3000標準毫升/分鐘的流速所供應的氬氣氣體來執行吹洗10秒而移除未反應的原料的製程。
圖12為圖表,其繪示在實例2中獲得的氧化鋁膜的隨著基板溫度而變化的沈積速率的量測結果。
根據圖12所示結果,在350℃至550℃下的沈積速率介於約0.92埃/循環至約1.17埃/循環的範圍,且在600℃下的沈積速率為約1.81埃/循環。自該些結果確認到,原子層沈積視窗範圍為約350℃至約550℃。
自上述結果,當使用由化學式(1)表示的鋁雜環化合物來沈積氧化鋁膜時,確認到鋁雜環化合物顯示出了使薄膜生長率恆定的原子層沈積行為且表現出熱穩定性提高,並且可確保約350℃至約550℃的相對寬的原子層沈積視窗。
圖13為圖表,其繪示在實例2中獲得的氧化鋁膜在對於每一樣本而將基板溫度分別維持在350℃及550℃下的條件下的X射線光電子光譜學(XPS)深度分佈結果。
當基板溫度分別為350℃及550℃時,確認到由於偵測到所獲得的氧化鋁膜中的碳原子的量低於約1原子%,因此不會產生因前驅物的分解而造成的雜質。
儘管已參考本發明概念的示例性實施例特別示出並闡述了本發明概念,然而應理解,可在不背離以下申請專利範圍的精神及範圍的條件下對其作出各種形式及細節上的變化。
100、200、300、400、500‧‧‧積體電路元件
110‧‧‧基板
122‧‧‧蝕刻終止絕緣膜
126‧‧‧絕緣層/最上絕緣層
130‧‧‧通道孔
132、132A、132B、132C‧‧‧電荷儲存膜
134、134A、134B、134C‧‧‧穿遂介電膜
136、136A、136B、136C‧‧‧阻擋絕緣膜
138、138A、138B、138C‧‧‧閘極介電膜
140‧‧‧通道區
142‧‧‧絕緣膜
150、312‧‧‧導電圖案
160‧‧‧開口
164、420G‧‧‧閘極電極
168‧‧‧共用源極區
172‧‧‧絕緣間隔壁
174‧‧‧導電插塞
182‧‧‧第一觸點
184‧‧‧第一導電層
192‧‧‧第二觸點
194‧‧‧位元線
212‧‧‧元件隔離區
220、444、502‧‧‧層間介電質
224‧‧‧導電區
228‧‧‧絕緣層
228P‧‧‧絕緣圖案
230‧‧‧模具膜
230P‧‧‧模圖案
242‧‧‧犧牲膜
242P‧‧‧犧牲圖案
244‧‧‧遮罩圖案
250‧‧‧導電膜
260‧‧‧介電膜
270‧‧‧電容器
314‧‧‧層間介電圖案
314H、H1‧‧‧孔
320‧‧‧導電障壁膜
330‧‧‧配線層
402‧‧‧元件隔離膜
412‧‧‧界面層
414‧‧‧高介電常數介電膜
420‧‧‧閘極結構
426A‧‧‧第一含金屬層
426B‧‧‧第二含金屬層
428‧‧‧間隙填充金屬層
430‧‧‧源極/汲極區
442‧‧‧絕緣間隔壁
510‧‧‧第一電極線
520‧‧‧第二電極線
542‧‧‧下部電極層
544‧‧‧選擇元件層
546‧‧‧中間電極層
552‧‧‧加熱電極層
554‧‧‧電阻層
556‧‧‧上部電極層
572‧‧‧第一絕緣層
574‧‧‧第二絕緣層
576‧‧‧第三絕緣層
AC‧‧‧主動區
FA‧‧‧鰭型主動區
GS‧‧‧閘極空間
LE‧‧‧下部電極/三維結構化下部電極
MC‧‧‧電阻式記憶體胞元
P12、P14、P14A、P14B、P14C、P14D、P14E‧‧‧製程
P124‧‧‧犧牲層
TR‧‧‧金屬氧化物半導體電晶體
UE‧‧‧上部電極
X、Y、Z‧‧‧方向
X-X'、Y-Y'‧‧‧線
結合附圖閱讀以下詳細說明,將更清晰地理解本發明概念的示例性實施例,在附圖中: 圖1為根據本發明概念示例性實施例的一種形成薄膜的方法的流程圖。 圖2為根據本發明概念示例性實施例的一種形成含鋁的膜的示例性方法的流程圖。 圖3A至圖3H為剖視圖,其說明根據本發明概念示例性實施例的製造積體電路元件的順序製程。 圖4A至圖4C為剖視圖,其示出能夠用來代替圖3G及圖3H所示的閘極介電膜的其他閘極介電膜的示例性結構。 圖5A至圖5J為剖視圖,其說明根據本發明概念示例性實施例的製造積體電路元件的順序製程。 圖6A至圖6D為剖視圖,其說明根據本發明概念示例性實施例的製造積體電路元件的順序製程。 圖7A至圖7C為用於闡釋根據本發明概念示例性實施例的一種製造積體電路元件的方法的圖,且具體而言,圖7A為積體電路元件的平面圖,圖7B為圖7A所示積體電路元件的立體圖,且圖7C示出分別沿圖7A所示線X-X'及線Y-Y'截取的積體電路元件的剖視圖。 圖8為用於闡釋根據本發明概念示例性實施例的一種製造積體電路元件的方法的立體圖。 圖9為圖表,其繪示根據本發明概念示例性實施例的鋁雜環化合物的差示掃描熱量法(differential scanning calorimetry,DSC)分析的結果。 圖10為圖表,其繪示根據本發明概念示例性實施例的鋁雜環化合物的熱重量分析(thermogravimetric analysis,TGA)的結果。 圖11為圖表,其繪示根據本發明概念示例性實施例的鋁雜環化合物的隨著溫度而變化的蒸氣壓力的量測結果。 圖12為圖表,其繪示根據本發明概念示例性實施例的藉由形成薄膜的方法而獲得的氧化鋁膜的沈積速率的量測結果。 圖13為圖表,其繪示根據本發明概念示例性實施例的藉由形成薄膜的方法而獲得的氧化鋁膜的X射線光電子光譜學(X-ray photoelectron spectroscopy,XPS)深度分佈結果。

Claims (25)

  1. 一種鋁化合物,由化學式(I)表示: 化學式(I)其中R1 、R2 、R3 、R4 、R5 、R6 、R7 及R8 分別獨立地為氫原子、鹵素原子、經取代或未經取代的C1 至C7 烷基、經取代或未經取代的C2 至C7 烯基、經取代或未經取代的C2 至C7 炔基或者經取代或未經取代的C4 至C20 芳香族烴基或脂環族烴基。
  2. 如申請專利範圍第1項所述的鋁化合物,其中所述鋁化合物具有約350℃至約550℃的熱分解溫度。
  3. 如申請專利範圍第1項所述的鋁化合物,其中 R1 與R5 分別獨立地為C1 至C7 烷基,且 R2 、R3 、R4 、R6 、R7 及R8 分別獨立地為氫原子或C1 至C7 烷基。
  4. 如申請專利範圍第1項所述的鋁化合物,其中所述鋁化合物由化學式(II)表示: 化學式(II)其中R1 與R5 分別獨立地為C1 至C7 烷基。
  5. 如申請專利範圍第1項所述的鋁化合物,其中所述鋁化合物由化學式(1)表示: 化學式(1)
  6. 一種形成薄膜的方法,所述方法包括: 使用由化學式(I)表示的鋁化合物在基板上形成含鋁的膜: 化學式(I)其中R1 、R2 、R3 、R4 、R5 、R6 、R7 及R8 分別獨立地為氫原子、鹵素原子、經取代或未經取代的C1 至C7 烷基、經取代或未經取代的C2 至C7 烯基、經取代或未經取代的C2 至C7 炔基或者經取代或未經取代的C4 至C20 芳香族烴基或脂環族烴基。
  7. 如申請專利範圍第6項所述的形成薄膜的方法,其中所述含鋁的膜是使用熱分解溫度為約350℃至約550℃的所述鋁化合物來形成。
  8. 如申請專利範圍第6項所述的形成薄膜的方法,其中所述含鋁的膜是使用由化學式(II)表示的所述鋁化合物來形成: 化學式(II)其中R1 與R5 分別獨立地為C1 至C7 烷基。
  9. 如申請專利範圍第6項所述的形成薄膜的方法,其中所述含鋁的膜是在約300℃至約600℃的溫度下形成。
  10. 如申請專利範圍第6項所述的形成薄膜的方法,其中所述含鋁的膜是藉由向所述基板上同時或依序地供應所述鋁化合物及反應氣體而形成。
  11. 如申請專利範圍第10項所述的形成薄膜的方法,其中所述含鋁的膜是藉由供應包含NH3 、單烷基胺、二烷基胺、三烷基胺、有機胺化合物、肼化合物及其組合中的一者的所述反應氣體來形成。
  12. 如申請專利範圍第10項所述的形成薄膜的方法,其中所述含鋁的膜是藉由供應包含O2 、O3 、電漿O2 、H2 O、NO2 、NO、N2 O(一氧化二氮)、CO2 、H2 O2 、HCOOH、CH3 COOH、(CH3 CO)2 O及其組合中的一者的所述反應氣體來形成。
  13. 如申請專利範圍第6項所述的形成薄膜的方法,其中形成所述含鋁的膜更包括: 將包含所述鋁化合物的源氣體氣化; 藉由向所述基板上供應經氣化的所述源氣體而在所述基板上形成吸附有Al源的層;以及 向所述吸附有Al源的層上供應反應氣體。
  14. 如申請專利範圍第6項所述的形成薄膜的方法,其中形成所述含鋁的膜包括形成氧化鋁膜、氮化鋁膜、含碳的鋁合金膜或含氮的鋁合金膜。
  15. 一種製造積體電路元件的方法,所述方法包括: 在基板上形成下部結構;以及 在約300℃至約600℃的溫度下使用由化學式(I)表示的鋁化合物在所述下部結構上形成含鋁的膜: 化學式(I)其中R1 、R2 、R3 、R4 、R5 、R6 、R7 及R8 分別獨立地為氫原子、鹵素原子、經取代或未經取代的C1 至C7 烷基、經取代或未經取代的C2 至C7 烯基、經取代或未經取代的C2 至C7 炔基或者經取代或未經取代的C4 至C20 芳香族烴基或脂環族烴基。
  16. 如申請專利範圍第15項所述的製造積體電路元件的方法,其中所述含鋁的膜是使用熱分解溫度為約350℃至約550℃的所述鋁化合物來形成。
  17. 如申請專利範圍第15項所述的製造積體電路元件的方法,其中所述含鋁的膜是使用由化學式(II)表示的所述鋁化合物來形成: 化學式(II)其中R1 與R5 分別獨立地為C1 至C7 烷基。
  18. 如申請專利範圍第15項所述的製造積體電路元件的方法,其中形成所述含鋁的膜包括在所述下部結構上形成氧化鋁膜、氮化鋁膜、含碳的鋁合金膜或含氮的鋁合金膜。
  19. 如申請專利範圍第15項所述的製造積體電路元件的方法,其中形成所述下部結構包括: 在所述基板上交替地堆疊多個絕緣層與多個犧牲層,所述多個絕緣層及所述多個犧牲層平行於所述基板延伸; 對所述多個犧牲層及所述多個絕緣層進行蝕刻以形成穿透所述多個犧牲層及所述多個絕緣層的開口;以及 經由所述開口移除所述多個犧牲層以形成多個閘極空間,所述多個閘極空間中的每一者形成於所述多個絕緣層中的兩個絕緣層之間, 其中形成所述含鋁的膜包括藉由在處於約300℃至約600℃範圍的第一溫度下經由所述開口向所述多個閘極空間供應所述鋁化合物而在所述多個閘極空間中形成氧化鋁膜。
  20. 如申請專利範圍第19項所述的製造積體電路元件的方法,更包括: 在形成所述氧化鋁膜之後,藉由在較所述第一溫度高的第二溫度下對所述氧化鋁膜進行退火而使所述氧化鋁膜緻密化。
  21. 如申請專利範圍第19項所述的製造積體電路元件的方法,更包括: 在形成所述氧化鋁膜之後,在所述氧化鋁膜上形成多個閘極電極,所述多個閘極電極填充所述多個閘極空間。
  22. 如申請專利範圍第15項所述的製造積體電路元件的方法,更包括: 在所述基板上形成電容器,所述電容器包括下部電極、介電膜及上部電極, 其中形成所述下部結構包括在所述基板上形成所述下部電極,且 形成所述含鋁的膜包括形成氧化鋁膜以形成所述介電膜,所述氧化鋁膜覆蓋所述下部電極的表面。
  23. 如申請專利範圍第15項所述的製造積體電路元件的方法,其中形成所述下部結構包括: 藉由部分地蝕刻所述基板而形成鰭型主動區,所述鰭型主動區自所述基板向上突出;以及 在所述鰭型主動區上形成高介電常數介電膜, 其中形成所述含鋁的膜包括: 使用包含不同於鋁的金屬的金屬化合物來形成含金屬的層,所述含金屬的層覆蓋所述鰭型主動區的頂表面及兩個側壁,所述高介電常數介電膜位於所述鰭型主動區與所述含金屬的層之間,所述含金屬的層包含TiAlC、TiAlCN、TaAlC、TaAlCN、TiAl、TiAlN、TaAlN或其組合。
  24. 如申請專利範圍第15項所述的製造積體電路元件的方法,更包括形成記憶體元件,所述記憶體元件包括: 多個第一電極線,在與所述基板的頂表面平行的第一方向上在所述基板上延伸; 多個第二電極線,在與所述基板的所述頂表面平行的第二方向上在所述多個第一電極線上方延伸,所述第二方向不同於所述第一方向;以及 多個電阻式記憶體胞元,位於所述多個第一電極線與所述多個第二電極線之間且位於所述多個第一電極線與所述多個第二電極線的相交處, 其中形成所述含鋁的膜包括使用所述鋁化合物形成所述多個第一電極線或所述多個第二電極線。
  25. 如申請專利範圍第24項所述的製造積體電路元件的方法,其中形成所述含鋁的膜包括形成包含Al、TiAlN或其組合的所述含鋁的膜。
TW106121113A 2016-09-13 2017-06-23 鋁化合物、使用其形成薄膜之方法與製造積體電路元件之方法 TWI731109B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020160118210A KR102627458B1 (ko) 2016-09-13 2016-09-13 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
KR10-2016-0118210 2016-09-13
??10-2016-0118210 2016-09-13

Publications (2)

Publication Number Publication Date
TW201829430A true TW201829430A (zh) 2018-08-16
TWI731109B TWI731109B (zh) 2021-06-21

Family

ID=61560764

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106121113A TWI731109B (zh) 2016-09-13 2017-06-23 鋁化合物、使用其形成薄膜之方法與製造積體電路元件之方法

Country Status (5)

Country Link
US (1) US10224200B2 (zh)
JP (1) JP7140476B2 (zh)
KR (1) KR102627458B1 (zh)
CN (1) CN107814817A (zh)
TW (1) TWI731109B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI727756B (zh) * 2019-07-03 2021-05-11 華邦電子股份有限公司 三維電阻式記憶體及其形成方法
TWI799059B (zh) * 2021-05-18 2023-04-11 南韓商三星電子股份有限公司 半導體記憶體裝置

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019054068A (ja) * 2017-09-13 2019-04-04 東芝メモリ株式会社 半導体記憶装置及びその製造方法
WO2019066905A1 (en) * 2017-09-29 2019-04-04 Intel Corporation VERTICAL FLASH MEMORY CELL WITH FAST READ SELECTOR
US10714494B2 (en) * 2017-11-23 2020-07-14 Macronix International Co., Ltd. 3D memory device with silicon nitride and buffer oxide layers and method of manufacturing the same
JP7401928B2 (ja) 2018-07-30 2023-12-20 ユーピー ケミカル カンパニー リミテッド アルミニウム化合物及びこれを使用したアルミニウム含有膜の形成方法
KR20200080478A (ko) 2018-12-26 2020-07-07 삼성전자주식회사 알루미늄 화합물 및 이를 이용한 반도체 소자의 제조 방법
US11319449B2 (en) * 2019-12-20 2022-05-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Area selective deposition of metal containing films
CN113772704A (zh) * 2021-09-13 2021-12-10 中山大学 一种二维氧化铝粉的制备方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3726485A1 (de) * 1987-08-08 1989-02-16 Merck Patent Gmbh Metallorganische verbindungen
US5457173A (en) 1990-10-09 1995-10-10 Lanxide Technology Company, Lp Polymer precursors for aluminum nitride
DE59308118D1 (de) * 1992-12-01 1998-03-12 Merck Patent Gmbh Koordinations-katalysatorsysteme
KR970010591A (ko) * 1995-08-24 1997-03-27 한승준 썬 바이저의 경고라벨 부착방법
DE10010796A1 (de) * 2000-03-08 2001-09-13 Merck Patent Gmbh Katalysatorsysteme für die Ziegler-Natta-Olefin-Polymerisation
TW548239B (en) 2000-10-23 2003-08-21 Asm Microchemistry Oy Process for producing aluminium oxide films at low temperatures
CN1798866A (zh) * 2003-06-05 2006-07-05 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 用氨基铝前体形成含铝薄膜的方法
TW200529325A (en) 2003-09-30 2005-09-01 Aviza Tech Inc Growth of high-k dielectrics by atomic layer deposition
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
KR100696858B1 (ko) 2005-09-21 2007-03-20 삼성전자주식회사 유기 알루미늄 전구체 및 이를 이용한 금속배선 형성방법
KR100724084B1 (ko) 2005-11-16 2007-06-04 주식회사 유피케미칼 디알킬아미도디하이드로알루미늄 화합물을 이용한 박막증착방법
KR100756388B1 (ko) 2006-06-02 2007-09-10 (주)디엔에프 알루미늄증착 전구체 및 그의 제조방법
KR101367141B1 (ko) 2007-08-03 2014-02-25 삼성전자주식회사 유기 금속 전구체, 이를 이용한 박막의 형성 방법 및 금속배선의 제조 방법
KR101770613B1 (ko) * 2010-08-25 2017-08-23 삼성전자 주식회사 셀 스트링 및 그를 포함하는 비휘발성 메모리 장치의 제조방법
RU2637316C2 (ru) * 2011-01-14 2017-12-04 В.Р.Грейс Энд Ко.-Конн. Способ получения модифицированного металлоценового катализатора, полученный катализатор и его применение
WO2013065806A1 (ja) 2011-11-02 2013-05-10 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US8927059B2 (en) 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
US9255324B2 (en) 2012-08-15 2016-02-09 Up Chemical Co., Ltd. Aluminum precursor composition
US8835273B2 (en) 2012-09-19 2014-09-16 Intermolecular, Inc. High temperature ALD process of metal oxide for DRAM applications
KR102123996B1 (ko) * 2013-02-25 2020-06-17 삼성전자주식회사 알루미늄 전구체, 이를 이용한 박막 형성 방법 및 커패시터 형성 방법
KR102093226B1 (ko) 2013-05-20 2020-03-25 (주)디엔에프 규소함유 유기 금속 전구체 화합물, 이의 제조방법 및 이를 이용한 금속-규소 산화물 박막의 제조 방법
US20150146341A1 (en) 2013-11-27 2015-05-28 GlobalFoundries, Inc. ALD dielectric films with leakage-reducing impurity layers
KR102251989B1 (ko) 2014-03-10 2021-05-14 삼성전자주식회사 유기 금속 전구체 및 이를 이용한 박막 형성 방법
KR20160082321A (ko) 2014-12-31 2016-07-08 주식회사 유진테크 머티리얼즈 알루미늄 박막 증착용 전구체 및 이를 이용한 박막 증착 방법
JP2016141631A (ja) * 2015-01-30 2016-08-08 日本アルキルアルミ株式会社 トリメチルアルミニウム−ジメチルアルミニウムハイドライド組成物の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI727756B (zh) * 2019-07-03 2021-05-11 華邦電子股份有限公司 三維電阻式記憶體及其形成方法
TWI799059B (zh) * 2021-05-18 2023-04-11 南韓商三星電子股份有限公司 半導體記憶體裝置

Also Published As

Publication number Publication date
TWI731109B (zh) 2021-06-21
KR20180029736A (ko) 2018-03-21
JP2018048113A (ja) 2018-03-29
US10224200B2 (en) 2019-03-05
CN107814817A (zh) 2018-03-20
US20180076024A1 (en) 2018-03-15
JP7140476B2 (ja) 2022-09-21
KR102627458B1 (ko) 2024-01-19

Similar Documents

Publication Publication Date Title
TWI731109B (zh) 鋁化合物、使用其形成薄膜之方法與製造積體電路元件之方法
US10651031B2 (en) Tantalum compound
US20090275198A1 (en) Vapor Phase Methods for Forming Electrodes in Phase Change Memory Devices
US8852686B2 (en) Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
US7569417B2 (en) Method of forming a phase changeable material layer, a method of manufacturing a phase changeable memory unit, and a method of manufacturing a phase changeable semiconductor memory device
KR100871692B1 (ko) 저온 증착용 금속 전구체, 그를 사용한 금속 박막 형성방법 및 상변화 메모리 소자 제조 방법
US10259836B2 (en) Methods of forming thin film and fabricating integrated circuit device using niobium compound
KR102592325B1 (ko) 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US20090075420A1 (en) Method of forming chalcogenide layer including te and method of fabricating phase-change memory device
US11967502B2 (en) Methods of forming material layer, semiconductor devices, and methods of manufacturing the same
US8703237B2 (en) Methods of forming a material layer and methods of fabricating a memory device
TWI808246B (zh) 鋁化合物以及使用其製造半導體元件之方法
US8834968B2 (en) Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
KR20220002096A (ko) 물질막의 형성 방법, 반도체 소자의 제조 방법 및 반도체 소자