CN107814817A - 铝化合物、通过使用其形成薄膜的方法、和制造集成电路器件的方法 - Google Patents

铝化合物、通过使用其形成薄膜的方法、和制造集成电路器件的方法 Download PDF

Info

Publication number
CN107814817A
CN107814817A CN201710389086.5A CN201710389086A CN107814817A CN 107814817 A CN107814817 A CN 107814817A CN 201710389086 A CN201710389086 A CN 201710389086A CN 107814817 A CN107814817 A CN 107814817A
Authority
CN
China
Prior art keywords
film
aluminium
substrate
layer
formation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201710389086.5A
Other languages
English (en)
Inventor
朴圭熙
林载顺
曹仑廷
金铭云
李相益
李圣德
赵晟佑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
DNF Co Ltd
Original Assignee
Samsung Electronics Co Ltd
DNF Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd, DNF Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN107814817A publication Critical patent/CN107814817A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/06Aluminium compounds
    • C07F5/061Aluminium compounds with C-aluminium linkage
    • C07F5/062Al linked exclusively to C
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/06Aluminium compounds
    • C07F5/061Aluminium compounds with C-aluminium linkage
    • C07F5/064Aluminium compounds with C-aluminium linkage compounds with an Al-Halogen linkage
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/06Aluminium compounds
    • C07F5/061Aluminium compounds with C-aluminium linkage
    • C07F5/065Aluminium compounds with C-aluminium linkage compounds with an Al-H linkage
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/24Electrically-conducting paints
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • H10B63/24Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes of the Ovonic threshold switching type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8413Electrodes adapted for resistive heating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Non-Volatile Memory (AREA)
  • Compounds Of Alkaline-Earth Elements, Aluminum Or Rare-Earth Metals (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

公开铝化合物、通过使用其形成薄膜的方法、和制造集成电路器件的方法。铝化合物由化学式(I)表示并且用作用于形成含铝薄膜的源材料。在化学式(I)中,R1、R2、R3、R4、R5、R6、R7、和R8与说明书中描述的相同。化学式(I)。

Description

铝化合物、通过使用其形成薄膜的方法、和制造集成电路器件 的方法
对相关申请的交叉引用
本申请要求2016年9月13日在韩国知识产权局提交的韩国专利申请No.10-2016-0118210的权益,其公开内容全部引入本文中作为参考。
技术领域
本发明构思的实例实施方式涉及铝化合物、使用其形成薄膜的方法、和/或制造集成电路器件的方法,且更具体地,涉及在室温下是液体的铝化合物、使用其形成薄膜的方法、和/或制造集成电路器件的方法。
背景技术
由于电子技术的发展,近年来半导体器件的尺寸缩小正在快速地进行,且因而,构成电子器件的图案的结构正在变得更复杂和更精细。连同这一起,存在开发这样的原材料化合物的需要,所述原材料化合物能够通过如下在复杂且精细的3维结构上形成含铝薄膜至均匀的厚度:在所述含铝薄膜的形成时保证热稳定性。
发明内容
本发明构思的实例实施方式提供铝杂环化合物,其能够具有改善的热稳定性和相对高的挥发性并且在使用所述铝杂环化合物作为源材料形成含铝薄膜时提供改善的台阶覆盖、改善的工艺(过程)稳定性和大规模生产能力。
本发明构思的实例实施方式还提供形成含铝薄膜的方法和制造集成电路器件的方法,所述形成含铝薄膜的方法可提供改善的台阶覆盖、工艺稳定性、和大规模生产能力,所述制造集成电路器件的方法可提供改善的电性质。
根据本发明构思的实例实施方式,铝化合物由化学式(I)表示:
化学式(I)
其中R1、R2、R3、R4、R5、R6、R7、和R8各自独立地为氢原子、卤素原子、C1-C7取代或未取代的烷基、C2-C7取代或未取代的烯基、C2-C7取代或未取代的炔基、或C4-C20取代或未取代的芳族、杂芳族或脂环族烃基。
根据本发明构思的实例实施方式,形成薄膜的方法包括使用由化学式(I)表示的铝化合物在基底上形成含铝膜。
根据本发明构思的实例实施方式,制造集成电路器件的方法包括:在基底上形成下部结构,和使用由化学式(I)表示的铝化合物在约300℃-约600℃的温度下在所述下部结构上形成含铝膜。
根据本发明构思的实例实施方式,形成薄膜的方法包括使用包括铝和氮的杂环前体在基底上形成含铝膜,所述杂环前体包括具有超过两个碳原子的杂环型环。
根据本发明构思的实例实施方式,由于所述铝杂环化合物在室温下是液态的并且具有改善的热稳定性和相对高的挥发性,因此促进所述铝杂环化合物的处理和转移。因此,所述铝杂环化合物适于用作用于制造高度集成电路器件的形成薄膜的材料。另外,由于所述铝杂环化合物抑制外来物质(例如,留在薄膜中的碳残余物),因此可获得改善的品质的含铝膜。根据本发明构思的实例实施方式,可使用在工艺稳定性和大规模生产能力方面具有优势的工艺条件形成改善的品质的含铝膜,并且可使用所述含铝膜制造能够提供改善的电性质的集成电路器件。
附图说明
由结合附图考虑的下列详细描述,将更清楚地理解本发明构思的实例实施方式,在附图中:
图1为根据本发明构思的实例实施方式的形成薄膜的方法的流程图;
图2为根据本发明构思的实例实施方式的形成含铝膜的实例方法的流程图;
图3A-3H为说明根据本发明构思的实例实施方式的制造集成电路器件的顺序工艺的横截面图;
图4A-4C为显示能够被用于代替图3G和3H中显示的栅介电膜的其它栅介电膜的实例结构的横截面图;
图5A-5J为说明根据本发明构思的实例实施方式的制造集成电路器件的顺序工艺的横截面图;
图6A-6D为说明根据本发明构思的实例实施方式的制造集成电路器件的顺序工艺的横截面图;
图7A-7C为用于说明根据本发明构思的实例实施方式的制造集成电路器件的方法的图,且具体地,图7A为集成电路器件的平面图,图7B为图7A的集成电路器件的透视图,且图7C显示分别沿着图7A的线X-X'和Y-Y'所取的集成电路器件的横截面图;
图8为用于说明根据本发明构思的实例实施方式的制造集成电路器件的方法的透视图;
图9为描绘根据本发明构思的实例实施方式的铝杂环化合物的差示扫描量热法(DSC)分析的结果的图;
图10为描绘根据本发明构思的实例实施方式的铝杂环化合物的热重分析(TGA)的结果的图;
图11为描绘根据本发明构思的实例实施方式的铝杂环化合物的随着温度的蒸气压的测量结果的图;
图12为描绘通过根据本发明构思的实例实施方式的形成薄膜的方法获得的氧化铝膜的沉积速率的测量结果的图;和
图13为描绘通过根据本发明构思的实例实施方式的形成薄膜的方法获得的氧化铝膜的X-射线光电子能谱法(XPS)深度剖析结果的图。
具体实施方式
在下文中,将参照附图详细地描述本发明构思的实例实施方式。在说明书中相同的部件将始终由相同的附图标记表示,并且将省略其重复描述。如本文中使用的,术语“室温”指的是范围约20℃-约28℃的温度并且可随着季节而改变。
根据本发明构思的实例实施方式,铝杂环化合物包括铝和氮,并且所述铝杂环化合物的杂环型环具有超过两个碳原子。例如,所述铝杂环化合物可由化学式(I)表示:
其中R1、R2、R3、R4、R5、R6、R7、和R8各自独立地为氢原子、卤素原子、C1-C7取代或未取代的烷基、C2-C7取代或未取代的烯基、C2-C7取代或未取代的炔基、或C4-C20取代或未取代的芳族、杂芳族或脂环族烃基。
在实例实施方式中,R1、R2、R3、R4、R5、R6、R7、和R8的至少一些可为被卤素原子例如氟原子取代的烃基。在实例实施方式中,R1、R2、R3、R4、R5、R6、R7、和R8各自可为仅含有碳和氢原子的官能团。以上阐述的烷基可为直链烷基、支化烷基、或环烷基。所述直链烷基的实例可包括甲基、乙基、丙基、丁基等,而不限于此。所述支化烷基的实例可包括叔丁基,而不限于此。所述环烷基的实例可包括环丙基、环戊基、环己基等,而不限于此。
由化学式(I)表示的铝杂环化合物可具有约350℃-约550℃的热分解温度。
在半导体器件中使用含铝膜用于多种目的,且半导体器件的可靠性可取决于含铝膜的膜性质。例如,当通过原子层沉积(ALD)工艺形成氧化铝膜时,用作铝前体的铝杂环化合物需要被气化(蒸发)。另外,为了形成具有改善的薄膜性质的氧化铝膜,ALD工艺温度需要被设定为相对高的。这里,如果用作铝前体的铝杂环化合物具有相对低的热稳定性,则在相对高的温度、例如约400℃或更高的温度下进行的ALD工艺期间,所述铝杂环化合物可热分解。结果,代替对于ALD所需的自限制反应,可主要发生化学气相沉积(CVD)。因而,未获得具有合乎需要的膜性质的氧化铝膜。如果在ALD工艺时的温度被设定为相对低的以抑制或防止这样的问题,则薄膜不具有不含杂质的改善的品质并且不满足在相对高的高宽比(深宽比,aspect ratio)的情况中所需的台阶覆盖。
根据本发明构思的实例实施方式的铝杂环化合物具有约350℃-约550℃的相对高的热分解温度。因而,即使使用根据本发明构思的实例实施方式的铝杂环化合物在约400℃或更高的温度下进行ALD工艺,也可满足ALD沉积性质。另外,可通过相对高的温度工艺形成含铝膜,且结果,可减少或防止不合乎需要的杂质留在所获得的含铝膜中。因此,即使在相对高的高宽比的情况中,所述含铝膜也可呈现改善的膜性质和改善的台阶覆盖。
另外,根据本发明构思的实例实施方式的铝杂环化合物呈现用于进行ALD工艺的充分的挥发性,并且由于其相对低的熔点而在室温下处于液态。因此,当在集成电路器件的制造工艺中使用所述铝杂环化合物时,所述铝杂环化合物容易处理并且适合作为通过ALD的形成薄膜的原材料。
在实例实施方式中,在化学式(I)中,R1和R5各自可独立地为C1-C7烷基,且R2、R3、R4、R6、R7、和R8各自可独立地为氢原子或C1-C7烷基。
在实例实施方式中,根据本发明构思的实例实施方式的铝杂环化合物可由化学式(II)表示:
化学式(II)
其中R1和R5各自独立地为C1-C7烷基。例如,根据本发明构思的实例实施方式的铝杂环化合物可由化学式(1)表示。
化学式(1)
图1为根据本发明构思的实例实施方式的形成薄膜的方法的流程图。
在图1的工艺P12中,准备基底。
在图1的工艺P14中,使用包括由化学式(I)表示的铝杂环化合物的形成薄膜的原材料在基底上形成含铝膜。在实例实施方式中,在工艺P14中使用的形成薄膜的原材料中包括的所述铝杂环化合物在室温下可为液体。在实例实施方式中,在工艺P14中使用的所述铝杂环化合物可具有约350℃-约550℃的热分解温度。在实例实施方式中,所述铝杂环化合物可具有由化学式(1)表示的结构。
在实例实施方式中,所述形成薄膜的原材料可包括根据本发明构思的实例实施方式的铝杂环化合物的至少一种并且可不包括其它金属化合物和半金属化合物。在实例实施方式中,除根据本发明构思的实例实施方式的铝杂环化合物之外,所述形成薄膜的原材料可包括包含合乎需要的金属或半金属的化合物(在下文中通过术语“另外的前体”提及)。在实例实施方式中,除根据本发明构思的实例实施方式的铝杂环化合物之外,所述形成薄膜的原材料可包括有机溶剂或亲核试剂。
能够用在所述形成薄膜的方法中的所述另外的前体的实例可包括至少一种包含如下之一作为配体的Si或金属化合物:氢化物、氢氧根、卤化物、叠氮化物、烷基、烯基、环烷基、烯丙基、炔基、氨基、二烷基氨基烷基、单烷基氨基、二烷基氨基、二氨基、二(甲硅烷基-烷基)氨基、二(烷基-甲硅烷基)氨基、二甲硅烷基氨基、烷氧基、烷氧基烷基、酰肼、磷化物、腈、二烷基氨基烷氧基、烷氧基烷基二烷基氨基、甲硅烷氧基、二酮化物(diketonate)、环戊二烯基、甲硅烷基、吡唑根、胍根、磷胍根(phosphoguanidinate)、脒根、酮亚胺根、二酮亚胺根、羰基、和磷脒根(phosphoamidinate)基团。
可在根据本发明构思的实例实施方式的形成薄膜的方法中用作形成薄膜的原材料的所述另外的前体中包括的金属可包括Ti、Ta、Mg、Ca、Sr、Ba、Ra、Sc、Y、Zr、Hf、V、Nb、Cr、Mo、W、Mn、Fe、Os、Co、Rh、Ir、Ni、Pd、Pt、Cu、Ag、Au、Zn、Cd、Ga、In、Ge、Sn、Pb、Sb、Bi、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb等。然而,本发明构思不限于以上列举作为实例的金属。
包括根据本发明构思的实例实施方式的铝杂环化合物的形成薄膜的原材料可适宜地用于ALD工艺,且根据本发明构思的实例实施方式的铝杂环化合物可用作在集成电路器件的制造中使用的形成薄膜的工艺中的ALD工艺所需的Al前体。
在根据本发明构思的实例实施方式的形成薄膜的方法中,可在沉积装置的反应室中使用由化学式(I)表示的铝杂环化合物形成含铝膜。例如,为了形成含铝膜,可将所述铝杂环化合物供应到保持在约300℃-约600℃的温度下的反应室。所述反应室可保持在约10Pa至大气压的压力。在实例实施方式中,为了形成所述含铝膜,可将所述铝杂环化合物单独供应到所述基底上。在实例实施方式中,为了形成所述含铝膜,可将包括前体化合物、反应性气体、和有机溶剂的至少一种与所述铝杂环化合物的混合物的多组分原材料供应到所述基底上,所述前体化合物包括不同于铝的金属。将气体形式的反应物供应到所述反应室一次的时间可范围为约0.1秒-约100秒。
当通过根据本发明构思的实例实施方式的形成薄膜的方法形成氮化铝膜时,所述反应性气体可从如下之中选择:NH3、单烷基胺、二烷基胺、三烷基胺、有机胺化合物、肼化合物、及其组合。
当通过根据本发明构思的实例实施方式的形成薄膜的方法形成氧化铝膜时,所述反应性气体可为从如下之中选择的氧化性气体:O2、O3、等离子体O2、H2O、NO2、NO、N2O(一氧化二氮)、CO2、H2O2、HCOOH、CH3COOH、(CH3CO)2O、及其组合。
在实例实施方式中,所述反应性气体可为还原性气体例如H2
可将所述铝杂环化合物和所述反应性气体同时或顺序地供应到所述基底上。
在根据本发明构思的实例实施方式的形成薄膜的方法中,用于形成薄膜的基底可包括硅基底、陶瓷基底(例如,SiN、TiN、TaN、TiO、RuO、ZrO、HfO、或LaO)、玻璃基底、金属基底(例如,钌)等。
图2为根据本发明构思的实例实施方式的形成含铝膜的实例方法的流程图。将参照图2更详细地描述根据图1的工艺P14的通过ALD工艺形成含铝膜的方法。
参照图2,在工艺P14A中,使包括铝杂环化合物的源气体气化。所述铝杂环化合物可包括由化学式(I)表示的铝杂环化合物。
在工艺P14B中,通过如下在基底上形成Al源吸附层:在将反应室的内部保持在约300℃-约600℃的温度下的同时将根据工艺P14A获得的气化的源气体供应到基底上。可通过将气化的源气体供应到基底上而在基底上形成包括所述源气体的化学吸附层和物理吸附层的Al源吸附层。
在工艺P14C中,通过如下除去在基底上的不合乎需要的副产物:在将所述反应室的内部保持在约300℃-约600℃的温度下的同时将吹扫气体供应到基底上。所述吹扫气体可包括例如惰性气体例如Ar、He、或Ne、N2气等。
在工艺P14D中,在将所述反应室的内部保持在约300℃-约600℃的温度下的同时将反应性气体供应到在基底上形成的Al源吸附层上。
当形成氮化铝膜作为含铝膜时,所述反应性气体可为如下之一:NH3、单烷基胺、二烷基胺、三烷基胺、有机胺化合物、肼化合物、及其组合。当形成氧化铝膜作为含铝膜时,所述反应性气体可为包括如下之一的氧化性气体:O2、O3、等离子体O2、H2O、NO2、NO、N2O、CO2、H2O2、HCOOH、CH3COOH、(CH3CO)2O、及其组合。在实例实施方式中,所述反应性气体可为还原性气体例如H2
在工艺P14E中,通过将吹扫气体供应到基底上而除去在基底上的不合乎需要的副产物。
在进行工艺P14E之后,可进行将含铝膜退火的工艺。退火可在比在工艺P14B至P14E中使用的工艺温度高的温度下进行。例如,退火可在选自约500℃-约1150℃的范围的温度下进行。在实例实施方式中,退火可在氮气气氛中进行。如上所述,进行退火工艺,由此含铝膜可被致密化,且可通过除去含铝膜中的杂质而呈现改善的膜性质。例如,当通过图2的工艺形成氧化铝膜作为含铝膜时,氧化铝膜可由于其通过退火工艺所致的致密化而收缩,且因而可具有增加的密度。
已参照图2描述的形成含铝膜的方法仅是一个实例,并且可在不背离本发明构思的实例实施方式的精神和范围的情况下进行所述方法的各种修改和变化。
为了通过根据本发明构思的实例实施方式的形成薄膜的方法在基底上形成含铝膜,可将由化学式(I)表示的铝杂环化合物以及另外的前体、反应性气体、载气、和吹扫气体的至少一种同时或顺序地供应到基底上。在实例实施方式中,所述铝杂环化合物可由化学式(II)表示,且这里,R1和R5各自可独立地为C1-C7烷基。例如,所述铝杂环化合物可由化学式(1)表示。
根据本发明构思的实例实施方式,当通过ALD工艺形成含铝膜时,可调节ALD循环的数量以将含铝膜控制到合乎需要的厚度。
例如,当通过ALD工艺形成含铝膜时,可施加能量(例如,等离子体、光、电压等)。可多样地选择用于施加能量的时间点。例如,用于施加能量的时间点可为将包括所述铝杂环化合物的源气体引入反应室中的时间点、将所述源气体吸附到基底上的时间点、使用吹扫气体进行排气工艺的时间点、将反应性气体引入到反应室的时间点,或者在这些时间点之间,可施加能量(例如,等离子体、光、电压等)。
根据本发明构思的实例实施方式的形成薄膜的方法可进一步包括在使用由化学式(I)表示的铝杂环化合物形成含铝膜之后将含铝膜在惰性、氧化性、或还原性气氛中退火的工艺。另外,为了填充在含铝膜的表面上形成的台阶,在需要时,所述形成薄膜的方法可进一步包括使含铝膜回流(软熔,reflow)的工艺。退火工艺和回流工艺各自可在选自约200℃-约1150℃的范围的温度下进行,而不限于此。
根据所述形成薄膜的方法,可适当地选择根据本发明构思的实例实施方式的铝杂环化合物、与所述铝杂环化合物一起使用的另外的前体、反应性气体、和用于形成薄膜的条件,由此形成多种含铝膜。
在实例实施方式中,通过根据本发明构思的实例实施方式的形成薄膜的方法形成的含铝膜可包括由Al2O3表示的氧化铝膜、由AlN表示的氮化铝膜、铝合金膜、包括铝合金的复合氧化物膜等。在实例实施方式中,所述复合氧化物膜可包括碳原子。所述复合氧化物膜中包括的碳原子可得自由化学式(I)表示的铝杂环化合物中包括的碳原子。尽管所述复合氧化物膜可包括Ti和Al的复合氧化物膜、Ta和Al的复合氧化物膜等,但本发明构思不限于以上阐述的实例。
通过根据本发明构思的实例实施方式的形成薄膜的方法制造的含铝膜可用于多种目的。例如,所述含铝膜可用于3维电荷捕获(撷取)闪存(CTF)单元中包括的栅介电膜的隧道阻挡物、晶体管的栅、金属线(例如,铜线)中包括的导电阻挡膜、电容器的介电膜、用于液晶的阻挡金属膜、用于薄膜太阳能电池的构件、用于半导体设备的构件、纳米结构体等,而不限于此。
图3A-3H为说明根据本发明构思的实例实施方式的制造集成电路器件的顺序工艺的横截面图。将参照图3A-3H描述制造构成垂直型非易失性存储器件的集成电路器件100(见图3H)的存储单元阵列的方法。
参照图3A,在基底110上形成蚀刻停止绝缘膜122,并且在蚀刻停止绝缘膜122上逐层地交替堆叠多个牺牲层P124和多个绝缘层126。最上面的绝缘层126的厚度可大于另外的绝缘层126的厚度。
基底110可包括元素半导体(例如,Si或Ge)、或化合物半导体(例如,SiC、GaAs、InAs、或InP)。基底110可包括半导体基底以及在半导体基底上的包括至少一个绝缘膜或至少一个导电区域的结构。
蚀刻停止绝缘膜122和多个绝缘层126可包括绝缘材料例如氧化硅。多个牺牲层P124可包括具有与蚀刻停止绝缘膜122和多个绝缘层126的蚀刻选择性不同的蚀刻选择性的材料。例如,多个牺牲层P124可包括氮化硅膜、氧氮化硅膜、多晶硅膜、或多晶硅锗膜。
参照图3B,多个沟道孔130形成通过多个绝缘层126、多个牺牲层P124、和蚀刻停止绝缘膜122并且使基底110暴露。
参照图3C,电荷存储膜132和隧道介电膜134以该陈述的顺序形成并且覆盖多个沟道孔130各自的内壁,并且沟道区域140形成且覆盖隧道介电膜134。
电荷存储膜132可包括氮化硅膜。隧道介电膜134可包括氧化硅膜。沟道区域140可包括半导体层例如Si层。沟道区域140可不完全填充各沟道孔130的内部。绝缘膜142可填充各沟道孔130中留在沟道区域140上方的空间。
部分地除去多个沟道孔130中的电荷存储膜132、隧道介电膜134、沟道区域140、和绝缘膜142,由此在多个沟道孔130各自中形成上部空间,并且导电图案150可填充多个沟道孔130各自中的上部空间。导电图案150可包括掺杂的多晶硅。导电图案150可用作漏区。
参照图3D,多个开口160形成通过多个绝缘层126、多个牺牲层P124、和蚀刻停止绝缘膜122并且使基底110暴露。
多个开口160各自可为字线切割区域。
参照图3E,从多个开口160除去多个牺牲层P124,由此形成多个栅空间GS,其各自在多个绝缘层126的相邻的两个之间。电荷存储膜132可通过多个栅空间GS暴露。
参照图3F,阻挡绝缘膜136形成并且覆盖多个栅空间GS的内壁。
阻挡绝缘膜136可包括氧化铝膜。为了形成阻挡绝缘膜136,可使用形成薄膜的方法,已参照图1或2描述了所述方法。在实例实施方式中,为了形成阻挡绝缘膜136,可使用ALD工艺。这里,作为Al源,可将由化学式(I)表示的铝杂环化合物例如由化学式(1)表示的铝杂环化合物供应通过多个开口160。ALD工艺可在选自约300℃-约600℃的范围的第一温度下进行。在氧化铝膜的形成之后,可通过将氧化铝膜在比第一温度高的第二温度下退火而使氧化铝膜致密化。第二温度可选自约500℃-约1150℃的范围。
参照图3G,用于栅电极的导电层形成并且填充被阻挡绝缘膜136围绕且留在多个栅空间GS中的空间,随后部分地除去阻挡绝缘膜136和用于栅电极的导电层使得多个开口160中的多个绝缘层126各自的侧壁被暴露,由此阻挡绝缘膜136和栅电极164留在多个开口160中。
隧道介电膜134、电荷存储膜132、和阻挡绝缘膜136(其以该陈述的顺序形成于沟道区域140上并且形成于沟道区域140和栅电极164之间)可构成栅介电膜138。
在实例实施方式中,栅电极164可包括接触阻挡绝缘膜136的第一导电阻挡膜、和在第一导电阻挡膜上的第一导电膜。第一导电阻挡膜可包括导电金属氮化物例如TiN或TaN。第一导电膜可包括导电多晶硅、金属、金属硅化物、或其组合。
阻挡绝缘膜136可包括不含不合乎需要的外来物质例如碳残余物的氧化铝膜。如参照图3F所描述的,氧化铝膜被退火且因而致密化,由此减少或防止因为如下所致的问题(例如,填充栅空间GS的栅电极164的构成材料的损坏):当在图3G的工艺中阻挡绝缘膜136和用于栅电极的导电层被部分地除去使得多个绝缘层126各自的侧壁被暴露时,由于过度暴露于蚀刻气氛,过量的阻挡绝缘膜136被消耗,或者由于蚀刻气氛,在多个栅空间GS的入口侧的阻挡绝缘膜136经历不合乎需要的除去。
在阻挡绝缘膜136和栅电极164仅留在多个栅空间GS各自中之后,基底110可通过多个开口160暴露。可通过将杂质注入通过多个开口160暴露的基底110中而在基底110中形成多个共源区168。
参照图3H,绝缘间隔体172形成于多个开口160各自的内部侧壁上,并且导电塞174填充多个开口160各自的内部空间。
在实例实施方式中,绝缘间隔体172可包括氧化硅膜、氮化硅膜、或其组合。导电塞174可包括接触绝缘间隔体172的第二导电阻挡膜、和填充多个开口160各自中被第二导电阻挡膜围绕的空间的第二导电膜。第二导电阻挡膜可包括导电金属氮化物例如TiN或TaN。第二导电膜可包括金属例如钨。
多个第一接触182可形成于多个导电塞174上,并且多个第一导电层184可分别形成于多个第一接触182上。多个第一接触182和多个第一导电层184各自可包括金属、金属氮化物、或其组合。
多个第二接触192和多根位线194可形成于多个导电图案150上。多个第二接触192和多根位线194各自可包括金属、金属氮化物、或其组合。
根据已参照图3A-3H描述的制造集成电路器件100的方法,在用于形成包括氧化铝的阻挡绝缘膜136的ALD工艺中使用根据本发明构思的实例实施方式的铝杂环化合物,由此保证在ALD工艺时作为原材料化合物所需的性质例如相对高的热稳定性、相对低的熔点、相对高的蒸气压、在液态时的可输送性、易于气化等。因此,可使用根据本发明构思的实例实施方式的铝杂环化合物更容易地形成具有合乎需要的性质的阻挡绝缘膜136。另外,可获得沿着具有相对高的高宽比的孔的深度具有均匀的台阶覆盖的阻挡绝缘膜136。
图4A-4C为说明可在制造集成电路器件100的方法中用于代替图3G和3H中显示的栅介电膜138的另外的栅介电膜138A、138B、和138C的实例结构的横截面图,所述方法已参照图3A-3H进行了描述。
在实例实施方式中,图3H中显示的集成电路器件100可包括图4A中显示的栅介电膜138A代替栅介电膜138。栅介电膜138A可形成于栅空间GS中并且覆盖栅电极164的面对沟道区域140的表面、和栅电极164的面对绝缘层126的表面。栅介电膜138A可包括隧道介电膜134A、电荷存储膜132A、和阻挡绝缘膜136A,隧道介电膜134A、电荷存储膜132A、和阻挡绝缘膜136A以该陈述的顺序形成于沟道区域140上并且形成于在沟道区域140和栅电极164之间的栅空间GS的一部分中。可通过参照图3F描述的形成阻挡绝缘膜136的相同的方法形成阻挡绝缘膜136A。
在实例实施方式中,图3H中显示的集成电路器件100可包括图4B中显示的栅介电膜138B代替栅介电膜138。栅介电膜138B可插入在沟道区域140和栅电极164之间的栅空间GS的一部分中并且覆盖栅电极164的面对沟道区域140的表面。栅介电膜138B可包括隧道介电膜134B、电荷存储膜132B、和阻挡绝缘膜136B,隧道介电膜134B、电荷存储膜132B、和阻挡绝缘膜136B以该陈述的顺序形成于沟道区域140上并且形成于沟道区域140和栅电极164之间。阻挡绝缘膜136B可通过参照图3F描述的形成阻挡绝缘膜136的相同的方法形成。
在实例实施方式中,图3H中显示的集成电路器件100可包括图4C中显示的栅介电膜138C代替栅介电膜138。栅介电膜138C可在栅电极164和沟道区域140之间以覆盖栅电极164的面对沟道区域140的表面,并且可在沟道孔130中沿着沟道区域140的长度方向平行于沟道区域140进行延伸。栅介电膜138C可包括隧道介电膜134C、电荷存储膜132C、和阻挡绝缘膜136C,隧道介电膜134C、电荷存储膜132C、和阻挡绝缘膜136C以该陈述的顺序形成于沟道区域140上并且形成于在沟道区域140和栅电极164之间的沟道孔130的内部的一部分中。阻挡绝缘膜136C可通过参照图3F描述的形成阻挡绝缘膜136的相同的方法形成。
图4A-4C中显示的隧道介电膜134A、134B、和134C,电荷存储膜132A、132B、132C,以及阻挡绝缘膜136A、136B、和136C的描述与已参照图3A-3H描述的隧道介电膜134、电荷存储膜132、和阻挡绝缘膜136的描述基本上相同。
图5A-5J为说明根据本发明构思的实例实施方式的制造集成电路器件200(见图5J)的顺序工艺的横截面图。
参照图5A,在包括多个活性(有源)区域AC的基底110上形成层间电介质220,随后形成多个导电区域224,其穿透层间电介质220并且分别连接至多个活性区域AC。
多个活性区域AC可由多个器件隔离区域212限定。层间电介质220可包括氧化硅膜。多个导电区域224可包括多晶硅、金属、导电金属氮化物、金属硅化物、或其组合。
参照图5B,绝缘层228形成并且覆盖层间电介质220和多个导电区域224。
绝缘层228可用作蚀刻停止层。绝缘层228可包括相对于层间电介质220和在随后的工艺中形成的模型(模子,mold)膜230(见图5C)具有蚀刻选择性的绝缘材料。在实例实施方式中,绝缘层228可包括氮化硅、氧氮化硅、或其组合。
参照图5C,模型膜230形成于绝缘层228上。模型膜230可包括氧化物膜。在实例实施方式中,模型膜230可进一步包括支撑膜(未示出)。支撑膜可包括相对于模型膜230的剩余部分具有蚀刻选择性的材料。
参照图5D,牺牲膜242和掩模图案244以该陈述的顺序形成于模型膜230上。
牺牲膜242可包括氧化物膜。牺牲膜242可保护模型膜230中包括的支撑膜。掩模图案244可包括氧化物膜、氮化物膜、多晶硅膜、光刻胶膜、或其组合。可通过掩模图案244限定其中将形成电容器的下部电极的区域。
参照图5E,使用掩模图案244作为蚀刻掩模且使用绝缘层228作为蚀刻停止层对牺牲膜242和模型膜230进行干法蚀刻,由此形成牺牲图案242P和模型图案230P,其限定多个孔H1。这里,由于过蚀刻,绝缘层228也可被蚀刻,由此绝缘图案228P可形成并且使多个导电区域224暴露。
参照图5F,从图5E的所得物除去掩模图案244,随后形成形成下部电极的导电膜250,其覆盖多个孔H1各自的内部侧壁、绝缘图案228P的暴露表面、在多个孔H1内部的多个导电区域224各自的暴露表面、和牺牲图案242P的暴露表面。
形成下部电极的导电膜250可共形地形成于多个孔H1的侧壁上使得多个孔H1各自的内部空间部分地保留。
形成下部电极的导电膜250可包括掺杂的半导体、导电金属氮化物、金属、金属硅化物、导电氧化物,或其组合。例如,形成下部电极的导电膜250可包括TiN、TiAlN、TaN、TaAlN、W、WN、Ru、RuO2、SrRuO3、Ir、IrO2、Pt、PtO、BSRO((Ba,Sr)RuO3)、CRO(CaRuO3)、LSCO((La,Sr)CoO3)、或其组合。为了形成形成下部电极的导电膜250,可使用CVD、金属有机CVD(MOCVD)、或ALD工艺。
参照图5G,部分地除去形成下部电极的导电膜250的上侧,由此将形成下部电极的导电膜250划分为多个下部电极LE。
为了形成多个下部电极LE,可使用回蚀或化学机械抛光(CMP)工艺除去形成下部电极的导电膜250的上侧的一部分和牺牲图案242P(见图5F),使得模型图案230P的顶部表面被暴露。
参照图5H,除去模型图案230P,由此使具有圆柱形状的多个下部电极LE的外壁暴露。
参照图5I,介电膜260形成于多个下部电极LE上。
介电膜260可共形地覆盖多个下部电极LE的暴露表面。介电膜260可包括氧化铝膜。介电膜260可通过ALD工艺形成。为了形成介电膜260,可使用根据本发明构思的实例实施方式的形成薄膜的方法,所述方法已参照图1或2进行了描述。
在实例实施方式中,介电膜260可包括单层的氧化铝膜。在实例实施方式中,介电膜260可包括至少一层氧化铝膜以及至少一层(种)选自氧化钽膜和氧化锆膜的高K介电膜的组合。
为了通过ALD工艺形成构成介电膜260的氧化铝膜,可使用由化学式(I)表示的铝杂环化合物例如由化学式(1)表示的铝杂环化合物作为Al源。用于形成介电膜260的ALD工艺可在约300℃-约600℃下进行。在介电膜260的形成之后,可将介电膜260在约500℃-约1150℃的温度下退火。
参照图5J,在介电膜260上形成上部电极UE。下部电极LE、介电膜260、和上部电极UE可构成电容器270。
上部电极UE可包括掺杂的半导体、导电金属氮化物、金属、金属硅化物、导电氧化物、或其组合。为了形成上部电极UE,可使用CVD、MOCVD、PVD、或ALD工艺。
在已参照图5A-5J描述的制造集成电路器件200的方法中,可形成不具有内部空间的柱型下部电极代替圆柱形下部电极LE,且介电膜260可形成于柱型下部电极上。
在已参照图5A-5J描述的制造集成电路器件200的方法中,电容器270包括具有3维电极结构的下部电极LE以增加电容器270的电容。为了补偿由于设计尺度(rule)的减小所致的电容的减小,增加3维结构的下部电极LE的高宽比。根据制造集成电路器件200的方法,当通过ALD工艺在下部电极LE上形成介电膜260时,对于形成介电膜260所需的铝杂环化合物可容易地递送直到具有相对高的高宽比的3维结构的下部部分。因此,可在具有相对高的高宽比的下部电极LE上形成呈现改善的台阶覆盖的介电膜260。
图6A-6D为说明根据本发明构思的实例实施方式的制造集成电路器件300(见图6D)的顺序工艺的横截面图。
参照图6A,在基底110上形成导电图案312,并且在导电图案312上形成具有孔314H的层间电介质图案314。导电图案312的一部分可通过孔314H暴露。
导电图案312可为源/漏区、栅电极、或布线层。层间电介质图案314可包括包含氧化硅膜、氮化硅膜、或其组合的单层或多层。
参照图6B,导电阻挡膜320形成于导电图案312的暴露表面和层间电介质图案314的暴露表面上并且覆盖孔314H的内壁。
导电阻挡膜320可包括氮化铝膜。为了形成导电阻挡膜320,可使用ALD工艺。为了形成导电阻挡膜320,可使用根据本发明构思的实例实施方式的形成薄膜的方法,所述方法已参照图1或2进行了描述。为了通过ALD工艺形成构成导电阻挡膜320的氮化铝膜,可使用由化学式(I)表示的铝杂环化合物例如由化学式(1)表示的铝杂环化合物作为Al源。用于形成导电阻挡膜320的ALD工艺可在约300℃-约600℃下进行。在导电阻挡膜320的形成之后,可将导电阻挡膜320在约500℃-约1150℃的温度下退火。
参照图6C,在导电阻挡膜320上形成布线层330,布线层330具有用于填充孔314H(见图6B)的充分的厚度。
布线层330可包括金属例如钨或铜。
参照图6D,使用回蚀、CMP、或其组合除去布线层330和导电阻挡膜320的不合乎需要的部分,由此导电阻挡膜320和布线层330留在孔314H(见图6B)中。
根据已参照图6A-6D描述的制造集成电路器件300的方法,通过降低构成导电阻挡膜320的氮化铝膜中的杂质的量而提供具有改善的膜品质的导电阻挡膜320,由此改善集成电路器件300的可靠性。
图7A-7C为用于说明根据本发明构思的实例实施方式的制造集成电路器件的方法的图,且具体地,图7A为集成电路器件400的平面图,图7B为图7A的集成电路器件400的透视图,且图7C显示分别沿着图7A的线X-X'和Y-Y'所取的集成电路器件400的横截面图。
参照图7A-7C,集成电路器件400包括从基底110突出的鳍型活性区域FA。
鳍型活性区域FA可沿着一个方向(图7A和7B中的Y方向)延伸。器件隔离膜402形成于基底110上并且覆盖鳍型活性区域FA的下部侧壁。鳍型活性区域FA从器件隔离膜402以鳍形状向上突出。在实例实施方式中,器件隔离膜402可包括氧化硅膜、氮化硅膜、氧氮化硅膜、或其组合。
在基底110上的鳍型活性区域FA上,栅结构420可在与鳍型活性区域FA的延伸方向交叉的方向(X方向)上延伸。源/漏区对430可在鳍型活性区域FA中在栅结构420的两侧处形成。
源/漏区对430可包括在鳍型活性区域FA上外延生长的半导体层。源/漏区对430各自可包括包含多个外延生长的SiGe层的嵌入的SiGe结构、外延生长的Si层、或外延生长的SiC层。源/漏区对430不限于图7B中显示的实例形状,且可具有多种形状。
MOS晶体管TR可在鳍型活性区域FA和栅结构420的交叉点处形成。MOS晶体管TR可包括3维结构的MOS晶体管,其中沟道形成于鳍型活性区域FA的顶部表面和两个侧表面上。MOS晶体管TR可构成NMOS晶体管或PMOS晶体管。
如图7C中所示,栅结构420可包括界面层412、高K介电膜414、第一含金属的层426A、第二含金属的层426B、和间隙填充金属层428,界面层412、高K介电膜414、第一含金属的层426A、第二含金属的层426B、和间隙填充金属层428以该陈述的顺序形成于鳍型活性区域FA的表面上。栅结构420的第一含金属的层426A、第二含金属的层426B、和间隙填充金属层428可构成栅电极420G。
可在栅结构420的两个侧表面上形成绝缘间隔体442。绝缘间隔体442被层间电介质444覆盖。界面层412可覆盖鳍型活性区域FA的表面并且可包括绝缘材料例如氧化物膜、氮化物膜、或氧氮化物膜。高K介电膜414可包括具有比氧化硅膜高的介电常数的材料。例如,高K介电膜414可具有约10-约25的介电常数。高K介电膜414可包括金属氧化物或金属氧氮化物。
第一含金属的层426A可包括P型功函导电材料例如TiN。第二含金属的层426B可包括N型功函导电材料,例如,NMOS晶体管所需的N型含金属的层,包括包含Ti或Ta的Al化合物。第二含金属的层426B可包括包含碳原子的含Al膜。例如,第二含金属的层426B可包括TiAlC、TiAlCN、TaAlC、TaAlCN、TiAl、TiAlN、TaAlN或其组合。
为了形成第二含金属的层426B,可使用形成薄膜的方法,所述方法已参照图1或2进行了描述。为了形成第二含金属的层426B,可使用ALD工艺。这里,可使用由化学式(I)表示的铝杂环化合物例如由化学式(1)表示的铝杂环化合物作为Al源。用于形成第二含金属的层426B的ALD工艺可在约300℃-约600℃下进行。在实例实施方式中,在第二含金属的层426B的形成之后,可将第二含金属的层426B在约500℃-约1150℃的温度下退火。
连同第一含金属的层426A一起的第二含金属的层426B可调节栅结构420的功函,由此调节栅结构420的阈值电压。
当通过替代金属栅(RMG)工艺形成栅结构420时,间隙填充金属层428可填充在第二含金属的层426B上方的剩余栅空间。如果在第二含金属的层426B的形成之后在第二含金属的层426B上方的剩余栅空间不存在,则可省略间隙填充金属层428代替在第二含金属的层426B上形成间隙填充金属层428。间隙填充金属层428可包括W、金属氮化物(例如,TiN或TaN)、Al、金属碳化物、金属硅化物、金属铝碳化物、金属铝氮化物、或金属硅氮化物。
当通过已参照图7A-7C描述的根据本发明构思的实例实施方式的方法制造集成电路器件400时,使用根据本发明构思的实例实施方式的铝杂环化合物形成第二含金属的层426B,由此改善集成电路器件400的可靠性。
图8为用于说明根据本发明构思的实例实施方式的制造集成电路器件的方法的透视图。图8中显示的集成电路器件500可构成电阻式存储器件。
参照图8,集成电路器件500可包括在基底110上的层间电介质502且包括多根第一电极线510、多个电阻式存储单元MC、和多根第二电极线520,多根第一电极线510、多个电阻式存储单元MC、和多根第二电极线520以该陈述的顺序形成于层间电介质502上。
层间电介质502可包括氧化硅膜、氮化硅膜、或其组合。
多根第一电极线510可在基底110上在第一方向(X方向)上彼此平行地延伸。多根第二电极线520可在多根第一电极线510上方在与第一方向交叉的第二方向(Y方向)上彼此平行地延伸。多根第一电极线510可为多根字线且多根第二电极线520可为多根位线,或者反过来。
多根第一和第二电极线510和520各自可包括金属、导电金属氮化物、导电金属氧化物、或其组合。例如,多根第一和第二电极线510和520各自可包括Al、TiAlN、或其组合。为了形成多根第一和第二电极线510和520,可使用已参照图1或2描述的形成薄膜的方法。在实例实施方式中,为了形成多根第一和第二电极线510和520,可使用ALD工艺。这里,可使用由化学式(I)表示的铝杂环化合物例如由化学式(1)表示的铝杂环化合物作为Al源。ALD工艺可在选自约300℃-约600℃的范围的第一温度下进行。
多根第一和第二电极线510和520可进一步包括导电阻挡膜(未示出)。导电阻挡膜可包括Ti、TiN、Ta、TaN、或其组合。
多个电阻式存储单元MC可布置在多根第一电极线510和多根第二电极线520之间且在多根第一电极线510和多根第二电极线520的交叉点处。多个电阻式存储单元MC可在第一方向和第二方向上彼此间隔开。多个电阻式存储单元MC各自可包括下部电极层542、选择器件层544、中间电极层546、加热电极层552、电阻层554、和上部电极层556。
电阻层554可包括取决于加热时间而在非晶相和结晶相之间可逆地改变的相变材料。例如,电阻层554可包括能够由于焦耳热而具有在相方面的可逆变化并且由于这样的相变而具有在电阻方面的变化的材料,所述焦耳热是通过向电阻层554的两端施加电压而产生的。具体地,相变材料在具有非晶相时可处于相对高电阻的状态,且在具有结晶相时可处于相对低电阻的状态。所述相对高电阻的状态被定义为“0”,且所述相对低电阻的状态被定义为“1”,由此数据可存储在电阻层554中。电阻层554可包括硫属化物材料作为相变材料。例如,电阻层554可包括Ge-Sb-Ts(GST)。电阻层554可进一步包括从硼(B)、碳(C)、氮(N)、氧(O)、磷(P)、和硫(S)之中选择的至少一种杂质。集成电路器件500的驱动电流可通过所述至少一种杂质而改变。另外,电阻层554可进一步包括金属。当电阻层554包括过渡金属氧化物时,集成电路器件500可为电阻式RAM(ReRAM)。
选择器件层544可为能够控制电流的流动的电流调节层。选择器件层544可包括能够取决于施加到选择器件层544的两端的电压的幅度而具有在电阻方面的变化的材料层。例如,选择器件层544可包括双向阈值开关(OTS)材料。选择器件层544可包括硫属化物开关材料作为OTS材料。
加热电极层552可布置在中间电极层546和电阻层554之间并且接触电阻层554。加热电极层552可以设定或重设的操作来加热电阻层554。加热电极层552可包括高熔点金属或其氮化物,包括TiN、TiSiN、TiAlN、TaSiN、TaAlN、TaN、WSi、WN、TiW、MoN、NbN、TiBN、ZrSiN、WSiN、WBN、ZrAlN、MoAlN、TiAl、TiON、TiAlON、WON、TaON、C、SiC、SiCN、CN、TiCN、TaCN、或其组合。
下部电极层542、中间电极层546、和上部电极层556各自可包括Al、TiAlN、或其组合。下部电极层542、中间电极层546、和上部电极层556的至少一个可通过已参照图1或2描述的形成薄膜的方法形成。在实例实施方式中,为了形成下部电极层542、中间电极层546、和上部电极层556的至少一个,可使用ALD工艺。这里,可使用由化学式(I)表示的铝杂环化合物例如由化学式(1)表示的铝杂环化合物作为Al源。ALD工艺可在选自约300℃-约600℃的第一温度下进行。
第一绝缘层572可布置在多根第一电极线510之间。第二绝缘层574可布置在多个电阻式存储单元MC之间。第三绝缘层576可布置在多根第二电极线520之间。
在下文中,将参照一些实施例更详细地说明根据本发明构思的实例实施方式的铝杂环化合物和形成薄膜的方法。然而,本发明构思不限于下列实施例。
实施例1
由化学式(1)表示的铝杂环化合物[(CH3)N(CH2CH2CH2)2Al(CH3)]的合成
使甲基二氯化铝(41g,0.37mol)熔化,随后在室温下将3-镁氯-N-(3-镁丙基)-N-甲基丙烷-1-胺在四氢呋喃(THF)中的溶液(400ml,1N)添加到甲基二氯化铝。接着,将组分在室温下搅拌17小时。在反应完成之后,在降低的压力下除去溶剂和挥发性副产物,随后进行真空蒸馏(32℃,0.54托),由此获得35g由化学式(1)表示的化合物(产率61%)。
(分析)
1H-NMR(溶剂:苯-d6,ppm)δ-0.51(3H,s,CH3Al),0.18(4H,t,CH2AlCH2),1.61(4H,m,CH2CH2AlCH2CH2),1.79(3H,s,CH3N),1.82(2H,m,CHNCH),2.08(2H,m,CH'N CH')
评价
由化学式(1)表示的铝杂环化合物的性质评价
图9为描绘由化学式(1)表示且在实施例1中获得的铝杂环化合物的差示扫描量热法(DSC)分析的结果的图。
如由图9的结果可看出的,一直到约400℃都未观察到由化学式(1)表示的铝杂环化合物的热分解峰,且证实该铝杂环化合物的热分解发生在约405℃。
作为对比例,使三甲基铝(TMA)以相同的方式经历DSC分析,且结果,证实TMA的热分解发生在约237℃。由该结果,可看出由化学式(1)表示的铝杂环化合物具有改善的热稳定性。
图10为描绘通过在氩气气氛中以10℃/分钟的加热速率进行10mg由化学式(1)表示的铝杂环化合物的热重分析(TGA)而获得的图。
图10显示由化学式(1)表示的铝杂环化合物的随着温度的重量损失百分数。如由图10可看出的,由化学式(1)表示的铝杂环化合物呈现快速的气化且在约170℃被气化99%或更多,而没有由于热分解所致的残余物。
图11为描绘由化学式(1)表示的铝杂环化合物的随着温度的蒸气压的测量结果的图。
由图11的结果,证实由化学式(1)表示的铝杂环化合物在50℃下具有约1托的蒸气压。
实施例2
氧化铝膜的形成
使用由化学式(1)表示的铝杂环化合物作为原材料通过ALD工艺在硅基底上形成氧化铝膜,所述铝杂环化合物是在实施例1中合成的。这里,使用臭氧气体作为反应性气体,并且使用氩气作为吹扫气体。在氧化铝膜的形成期间,将基底保持在350℃-600℃的温度下。
为了形成氧化铝膜,当将以下系列的工艺(1)-(4)定义为1次循环时,重复200次循环。
工艺(1):通过将所述铝杂环化合物的蒸气引入反应室中8秒而将所述铝杂环化合物的蒸气沉积到保持在350℃-600℃的基底上的工艺,所述铝杂环化合物的蒸气是通过使所述铝杂环化合物在如下条件下气化而获得的:所述铝杂环化合物的罐的加热温度为80℃。
工艺(2):通过使用以3000sccm的流量供应的氩气进行吹扫10秒而除去未反应的原材料的工艺。
工艺(3):通过将作为反应性气体的臭氧气体以300sccm的流量引入反应室中14秒而进行反应的工艺。
工艺(4):通过使用以3000sccm的流量供应的氩气进行吹扫10秒而除去未反应的原材料的工艺。
图12为描绘在实施例2中获得的氧化铝膜的随着基底温度的沉积速率的测量结果的图。
根据图12的结果,在350℃-550℃下的沉积速率范围为约/循环-约/循环,且在600℃的沉积速率为约/循环。由这些结果,证实ALD窗口范围为从约350℃到约550℃。
由以上结果,当使用由化学式(1)表示的铝杂环化合物沉积氧化铝膜时,证实所述铝杂环化合物显示出容许薄膜生长速率恒定且呈现改善的热稳定性的ALD行为,并且可保证约350℃-约550℃的相对宽的ALD窗口。
图13为描绘在基底温度保持在350℃和550℃的每一个的条件下在实施例2中获得的氧化铝膜的X-射线光电子能谱法(XPS)深度剖析结果的图。
当基底温度分别为350℃和550℃时,证实由于以低于约1原子%的量检测到在所获得的氧化铝膜中的碳原子,因此未产生由于前体的分解所致的杂质。
尽管已经参照本发明构思的实例实施方式具体展示和描述了本发明构思,但是将理解,在不背离下列权利要求的精神和范围的情况下,可在其中进行形式和细节方面的各种变化。

Claims (21)

1.由化学式(I)表示的铝化合物:
化学式(I)
其中R1、R2、R3、R4、R5、R6、R7、和R8各自独立地为氢原子、卤素原子、C1-C7取代或未取代的烷基、C2-C7取代或未取代的烯基、C2-C7取代或未取代的炔基、或C4-C20取代或未取代的芳族、杂芳族或脂环族烃基。
2.根据权利要求1的铝化合物,其中所述铝化合物具有350℃-550℃的热分解温度。
3.根据权利要求1的铝化合物,其中
R1和R5各自独立地为C1-C7烷基,和
R2、R3、R4、R6、R7、和R8各自独立地为氢原子或C1-C7烷基。
4.根据权利要求1的铝化合物,其中所述铝化合物由化学式(II)表示:
化学式(II)
其中R1和R5各自独立地为C1-C7烷基。
5.根据权利要求1的铝化合物,其中所述铝化合物由化学式(1)表示:
化学式(1)
6.形成薄膜的方法,所述方法包括:
使用根据权利要求1-5中任一项的铝化合物在基底上形成含铝膜。
7.根据权利要求6的方法,其中所述形成在300℃-600℃的温度下形成所述含铝膜。
8.根据权利要求6的方法,其中所述形成通过将所述铝化合物和反应性气体同时或顺序地供应到所述基底上而形成所述含铝膜。
9.根据权利要求8的方法,其中所述形成供应包括如下之一的所述反应性气体:NH3、单烷基胺、二烷基胺、三烷基胺、有机胺化合物、肼化合物、及其组合。
10.根据权利要求8的方法,其中所述形成供应包括如下之一的所述反应性气体:O2、O3、等离子体O2、H2O、NO2、NO、N2O、CO2、H2O2、HCOOH、CH3COOH、(CH3CO)2O、及其组合。
11.根据权利要求6的方法,其中所述形成进一步包括:
使包括所述铝化合物的源气体气化;
通过将气化的源气体供应到所述基底上而在所述基底上形成Al源吸附层;和
将反应性气体供应到所述Al源吸附层上。
12.根据权利要求6的方法,其中所述形成形成包括如下的含铝膜:氧化铝膜、氮化铝膜、含碳铝合金膜、或含氮铝合金膜。
13.制造集成电路器件的方法,所述方法包括:
在基底上形成下部结构;和
使用根据权利要求1-5中任一项的铝化合物在300℃-600℃的温度下在所述下部结构上形成含铝膜。
14.根据权利要求13的方法,其中所述形成含铝膜在所述下部结构上形成氧化铝膜、氮化铝膜、含碳铝合金膜、或含氮铝合金膜。
15.根据权利要求13的方法,其中所述形成下部结构包括:
在所述基底上交替地堆叠多个绝缘层和多个牺牲层,所述多个绝缘层和所述多个牺牲层平行于所述基底延伸;
蚀刻所述多个牺牲层和所述多个绝缘层以形成穿透所述多个牺牲层和所述多个绝缘层的开口;和
通过所述开口除去所述多个牺牲层以形成多个栅空间,所述栅空间各自在所述多个绝缘层之中的两个相邻的绝缘层之间,
所述形成含铝膜通过如下在所述多个栅空间中形成氧化铝膜:在处于300℃-600℃的范围的第一温度下通过所述开口将所述铝化合物供应到所述多个栅空间中。
16.根据权利要求15的方法,其进一步包括:
在所述形成氧化铝膜之后通过将所述氧化铝膜在比所述第一温度高的第二温度下退火而使所述氧化铝膜致密化。
17.根据权利要求15的方法,其进一步包括:
在所述形成氧化铝膜之后在所述氧化铝膜上形成多个栅电极,所述多个栅电极填充所述多个栅空间。
18.根据权利要求13的方法,其进一步包括:
在所述基底上形成电容器,所述电容器包括下部电极、介电膜、和上部电极,
其中所述形成下部结构在所述基底上形成所述下部电极,和
所述形成含铝膜形成氧化铝膜以形成所述介电膜,所述氧化铝膜覆盖所述下部电极的表面。
19.根据权利要求13的方法,其中
所述形成下部结构包括:
通过部分地蚀刻所述基底而形成鳍型活性区域,所述鳍型活性区域从所述基底向上突出;和
在所述鳍型活性区域上形成高K介电膜,和
所述形成含铝膜包括:
使用包括不同于铝的金属的金属化合物形成含金属的层,所述含金属的层覆盖所述鳍型活性区域的顶部表面和两个侧壁,所述高K介电膜在所述鳍型活性区域和所述含金属的层之间,所述含金属的层包括TiAlC、TiAlCN、TaAlC、TaAlCN、TiAl、TiAlN、TaAlN、或其组合。
20.根据权利要求13的方法,进一步包括:
形成包括如下的存储器件:
在所述基底上在与所述基底的顶部表面平行的第一方向上延伸的多根第一电极线,
在所述多根第一电极线上方在与所述基底的顶部表面平行的第二方向上延伸的多根第二电极线,所述第二方向不同于所述第一方向,以及
在所述多根第一电极线和所述多根第二电极线之间且在所述多根第一电极线和所述多根第二电极线的交点处的多个电阻式存储单元,
其中所述形成含铝膜使用所述铝化合物形成所述多根第一电极线或所述多根第二电极线。
21.根据权利要求20的方法,其中所述形成含铝膜形成包括Al、TiAlN、或其组合的含铝膜。
CN201710389086.5A 2016-09-13 2017-05-27 铝化合物、通过使用其形成薄膜的方法、和制造集成电路器件的方法 Pending CN107814817A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2016-0118210 2016-09-13
KR1020160118210A KR102627458B1 (ko) 2016-09-13 2016-09-13 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법

Publications (1)

Publication Number Publication Date
CN107814817A true CN107814817A (zh) 2018-03-20

Family

ID=61560764

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710389086.5A Pending CN107814817A (zh) 2016-09-13 2017-05-27 铝化合物、通过使用其形成薄膜的方法、和制造集成电路器件的方法

Country Status (5)

Country Link
US (1) US10224200B2 (zh)
JP (1) JP7140476B2 (zh)
KR (1) KR102627458B1 (zh)
CN (1) CN107814817A (zh)
TW (1) TWI731109B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113772704A (zh) * 2021-09-13 2021-12-10 中山大学 一种二维氧化铝粉的制备方法
TWI837426B (zh) * 2019-10-04 2024-04-01 美商應用材料股份有限公司 用於形成半導體結構的處理系統及方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019054068A (ja) * 2017-09-13 2019-04-04 東芝メモリ株式会社 半導体記憶装置及びその製造方法
WO2019066905A1 (en) * 2017-09-29 2019-04-04 Intel Corporation VERTICAL FLASH MEMORY CELL WITH FAST READ SELECTOR
US10714494B2 (en) * 2017-11-23 2020-07-14 Macronix International Co., Ltd. 3D memory device with silicon nitride and buffer oxide layers and method of manufacturing the same
JP7401928B2 (ja) * 2018-07-30 2023-12-20 ユーピー ケミカル カンパニー リミテッド アルミニウム化合物及びこれを使用したアルミニウム含有膜の形成方法
TWI808246B (zh) 2018-12-26 2023-07-11 南韓商三星電子股份有限公司 鋁化合物以及使用其製造半導體元件之方法
US10847578B1 (en) * 2019-07-03 2020-11-24 Windbond Electronics Corp. Three-dimensional resistive memories and methods for forming the same
US11319449B2 (en) * 2019-12-20 2022-05-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Area selective deposition of metal containing films
KR20220156718A (ko) * 2021-05-18 2022-11-28 삼성전자주식회사 반도체 메모리 소자 및 그의 제조 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1994012278A1 (de) * 1992-12-01 1994-06-09 Merck Patent Gmbh Koordinations-katalysatorsysteme
EP1132409A1 (de) * 2000-03-08 2001-09-12 MERCK PATENT GmbH Katalysatorsysteme für die Ziegler-Natta-Olefin-Polymerisation
CN1798866A (zh) * 2003-06-05 2006-07-05 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 用氨基铝前体形成含铝薄膜的方法
WO2012097146A1 (en) * 2011-01-14 2012-07-19 W. R. Grace & Co.-Conn. Process of making modified metallocene catalyst, catalyst produced and use thereof
CN104004007A (zh) * 2013-02-25 2014-08-27 三星电子株式会社 铝前体、使用其形成薄膜的方法和形成电容器的方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3726485A1 (de) * 1987-08-08 1989-02-16 Merck Patent Gmbh Metallorganische verbindungen
US5457173A (en) 1990-10-09 1995-10-10 Lanxide Technology Company, Lp Polymer precursors for aluminum nitride
KR970010591A (ko) * 1995-08-24 1997-03-27 한승준 썬 바이저의 경고라벨 부착방법
TW548239B (en) 2000-10-23 2003-08-21 Asm Microchemistry Oy Process for producing aluminium oxide films at low temperatures
WO2005034195A2 (en) 2003-09-30 2005-04-14 Aviza Technology, Inc. Growth of high-k dielectrics by atomic layer deposition
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
KR100696858B1 (ko) 2005-09-21 2007-03-20 삼성전자주식회사 유기 알루미늄 전구체 및 이를 이용한 금속배선 형성방법
KR100724084B1 (ko) 2005-11-16 2007-06-04 주식회사 유피케미칼 디알킬아미도디하이드로알루미늄 화합물을 이용한 박막증착방법
KR100756388B1 (ko) 2006-06-02 2007-09-10 (주)디엔에프 알루미늄증착 전구체 및 그의 제조방법
KR101367141B1 (ko) 2007-08-03 2014-02-25 삼성전자주식회사 유기 금속 전구체, 이를 이용한 박막의 형성 방법 및 금속배선의 제조 방법
KR101770613B1 (ko) * 2010-08-25 2017-08-23 삼성전자 주식회사 셀 스트링 및 그를 포함하는 비휘발성 메모리 장치의 제조방법
JP6065840B2 (ja) 2011-11-02 2017-01-25 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US8927059B2 (en) 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
US9255324B2 (en) 2012-08-15 2016-02-09 Up Chemical Co., Ltd. Aluminum precursor composition
US8835273B2 (en) 2012-09-19 2014-09-16 Intermolecular, Inc. High temperature ALD process of metal oxide for DRAM applications
KR102093226B1 (ko) 2013-05-20 2020-03-25 (주)디엔에프 규소함유 유기 금속 전구체 화합물, 이의 제조방법 및 이를 이용한 금속-규소 산화물 박막의 제조 방법
US20150146341A1 (en) 2013-11-27 2015-05-28 GlobalFoundries, Inc. ALD dielectric films with leakage-reducing impurity layers
KR102251989B1 (ko) 2014-03-10 2021-05-14 삼성전자주식회사 유기 금속 전구체 및 이를 이용한 박막 형성 방법
KR20160082321A (ko) 2014-12-31 2016-07-08 주식회사 유진테크 머티리얼즈 알루미늄 박막 증착용 전구체 및 이를 이용한 박막 증착 방법
JP2016141631A (ja) * 2015-01-30 2016-08-08 日本アルキルアルミ株式会社 トリメチルアルミニウム−ジメチルアルミニウムハイドライド組成物の製造方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1994012278A1 (de) * 1992-12-01 1994-06-09 Merck Patent Gmbh Koordinations-katalysatorsysteme
EP1132409A1 (de) * 2000-03-08 2001-09-12 MERCK PATENT GmbH Katalysatorsysteme für die Ziegler-Natta-Olefin-Polymerisation
US20020173603A1 (en) * 2000-03-08 2002-11-21 Katrin Kohler Catalyst system for the Ziegler-Natta polymerization of olefins
CN1798866A (zh) * 2003-06-05 2006-07-05 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 用氨基铝前体形成含铝薄膜的方法
WO2012097146A1 (en) * 2011-01-14 2012-07-19 W. R. Grace & Co.-Conn. Process of making modified metallocene catalyst, catalyst produced and use thereof
CN103402636A (zh) * 2011-01-14 2013-11-20 格雷斯公司 制造改性金属茂催化剂的方法、制成的催化剂及其用途
CN104004007A (zh) * 2013-02-25 2014-08-27 三星电子株式会社 铝前体、使用其形成薄膜的方法和形成电容器的方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
SCHUMANN, HERBERT ET AL.: "Intramolecular, metallacyclic organoaluminum, -gallium and –indium addition compounds. Crystal structure of 1-galla-5-azabicyclo[3.3.3] undecane", 《CHEMISCHE BERICHTE》 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI837426B (zh) * 2019-10-04 2024-04-01 美商應用材料股份有限公司 用於形成半導體結構的處理系統及方法
CN113772704A (zh) * 2021-09-13 2021-12-10 中山大学 一种二维氧化铝粉的制备方法

Also Published As

Publication number Publication date
US10224200B2 (en) 2019-03-05
US20180076024A1 (en) 2018-03-15
TWI731109B (zh) 2021-06-21
JP2018048113A (ja) 2018-03-29
TW201829430A (zh) 2018-08-16
KR20180029736A (ko) 2018-03-21
JP7140476B2 (ja) 2022-09-21
KR102627458B1 (ko) 2024-01-19

Similar Documents

Publication Publication Date Title
CN107814817A (zh) 铝化合物、通过使用其形成薄膜的方法、和制造集成电路器件的方法
EP1675194B1 (en) Germanium precursor and method of manufacturing a GST thin layer
US10651031B2 (en) Tantalum compound
US8852686B2 (en) Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
KR101622327B1 (ko) 상변화 메모리 소자들에서 전극들의 기상 제조 방법들
JP5148063B2 (ja) ゲルマニウム前駆体、これを利用して形成されたgst薄膜、前記薄膜の製造方法及び相変化メモリ素子
KR100688532B1 (ko) 텔루르 전구체, 이를 이용하여 제조된 Te-함유 칼코게나이드(chalcogenide) 박막, 상기 박막의 제조방법 및 상변화 메모리 소자
US7727884B2 (en) Methods of forming a semiconductor device including a phase change material layer
EP2279285B1 (en) Synthesis and use of precursors for ald of tellurium and selenium thin films
KR100871692B1 (ko) 저온 증착용 금속 전구체, 그를 사용한 금속 박막 형성방법 및 상변화 메모리 소자 제조 방법
US20070054475A1 (en) Method of forming a phase changeable material layer, a method of manufacturing a phase changeable memory unit, and a method of manufacturing a phase changeable semiconductor memory device
KR20090029488A (ko) Te 함유 칼코게나이드막 형성 방법 및 상변화 메모리소자 제조 방법
CN107619419A (zh) 铝化合物以及使用其形成薄膜和制造集成电路器件的方法
US20030205720A1 (en) High-resistivity metal in a phase-change memory cell
US8834968B2 (en) Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
US20220380390A1 (en) Silicon compounds and methods of manufacturing integrated circuit device using the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20180320