KR20170045131A - 게이트 유전체들에 대한 원자층 성막의 구현 - Google Patents

게이트 유전체들에 대한 원자층 성막의 구현 Download PDF

Info

Publication number
KR20170045131A
KR20170045131A KR1020160133461A KR20160133461A KR20170045131A KR 20170045131 A KR20170045131 A KR 20170045131A KR 1020160133461 A KR1020160133461 A KR 1020160133461A KR 20160133461 A KR20160133461 A KR 20160133461A KR 20170045131 A KR20170045131 A KR 20170045131A
Authority
KR
South Korea
Prior art keywords
precursor
silicon
film
substrate
lanthanum
Prior art date
Application number
KR1020160133461A
Other languages
English (en)
Other versions
KR102623131B1 (ko
Inventor
푸 탕
샤오창 지앙
치 시에
마이클 유진 기븐스
얀 빌렘 메스
제리 천
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20170045131A publication Critical patent/KR20170045131A/ko
Application granted granted Critical
Publication of KR102623131B1 publication Critical patent/KR102623131B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02156Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing at least one rare earth element, e.g. silicate of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판 상에 박막을 성막하는 방법이 개시된다. 구체적으로, 본 방법은 기판 상에 전이 금속 실리케이트를 형성한다. 전이 금속 실리케이트는 예를 들어, 란탄 실리케이트 또는 이트륨 실리케이트를 포함할 수도 있다. 전이 금속 실리케이트는 게이트 유전체 재료에 이용하기 위한 신뢰성 뿐만 아니라 양호한 전기적 특성들을 나타낸다.

Description

게이트 유전체들에 대한 원자층 성막의 구현{IMPLEMENTING ATOMIC LAYER DEPOSITION FOR GATE DIELECTRICS}
관련 출원의 상호 참조
본 출원은 2015년 10월 16일 출원되고 발명의 명칭이 "Implementing Atomic Layer Deposition Gate Dielectrics for MOSFET Devices" 인 미국 가특허 출원 번호 제62/242,804호를 우선권으로 주장하며 여기서는 이러한 내용들이 본 개시물과 충돌하지 않는 범위에서 그 전체 내용을 참조로서 포함한다.
발명의 분야
본 개시물은 일반적으로 전자 디바이스들을 제조하는 프로세스들에 관한 것이다. 보다 구체적으로, 본 개시물은 원자층 성막 (atomic layer deposition; ALD) 을 통하여 전이금속 실리케이트 막을 형성하는 것에 관한 것이다.
원자층 성막 (ALD) 은 여러 전구체들의 순차적인 분배를 통하여 기판 상에 박막을 성막하는 방법이다. 통상적인 ALD 방법은 반응 챔버, 기판 홀더, 가스 플로우 시스템 및 배기 시스템을 포함하는 반응 시스템에서 발생할 수도 있다. 박막의 성장은 전구체의 단층만이 기판 상에 형성되도록 전구체들이 기판 상의 활성 사이트들 상에 흡착할 때 발생한다. 그 후, 임의의 과잉의 전구체는 배기구를 통하여 반응 챔버로부터 제거될 수도 있다. 다른 전구체가 도입되어 다른 단층이 형성될 수도 있다. 프로세스는 원하는 두께의 원하는 막을 형성하기 위해 필요에 따라 반복될 수도 있다.
ALD 프로세스들은 상보형 금속 산화물 반도체 (complementary metal oxide semiconductor; CMOS) 디바이스들에서 게이트 유전체들을 형성하는데 있어 특히 효과적이였다. 수년간, 실리콘 산화물 (SiO2) 은 트랜지스터 게이트 유전체들 및 게이트 유전체들로서 CMOS 애플리케이션들에서의 컴포넌트들에 이용되어 왔다. 그러나, 컴포넌트들의 사이즈에서의 축소에 의해, SiO2 는 증가된 누설 전류들의 형태로 문제가 되는 영향들을 보여주었다. 사이즈 제약들과 함께 누설 전류를 제어하는 것은 SiO2 에 대한 도전 과제로 되고 있다.
게이트 유전체들의 형성에 있어서, 높은 유전 상수를 갖는 유전체 재료 ("하이-k 유전체") 는 누설 및 다른 전기적 기준들을 제어하면서 보다 소형의 디바이스 기하 구조들을 실현하기 위하여 성능 특성들을 갖는 것으로 보여졌다. 이들 원하는 목적을 염두에 두고, Wang 등의 미국 특허 제7,795,160호는 기판 표면 상에 컨포멀 금속 실리케이트 막의 제어된 성막을 위한 방법을 개시한다. 종래 SiO2 방법들과는 달리, 개시된 방법들은 특히, 여러 애플리케이션들에 대해, 이를 테면, CMOS 디바이스들에서의 게이트 스택들, DRAM 디바이스들에서의 유전체 층들, 및 다른 커패시터계 디바이스들의 컴포넌트들에 대해 하프늄 실리케이트 (HfSiOx) 및 지르코늄 실리케이트 (ZrSiOx) 막들을 형성하는데 이용될 수 있다. HfSiOx 및 ZrSiOx 는 보다 소형의 디바이스 기하 구조들에서의 집적 회로들에서 열 안정성 및 디바이스 성능을 제공한다.
또한, 종래의 SiO2 방법들과 달리, Raisanen 의 미국 특허 제8,071,452호는 하이-k 유전체 재료들에 이용하기 위하여 금속 막 층의 ALD 성막을 위한 방법을 개시한다. 구체적으로, 하프늄 란탄 산화물 (HfLaO) 층을 성막하는 방법이 개시된다. 이 방법은 HfLaO 유전체 층이 원하는 유전 상수 및/또는 다른 제어가능한 특성들로 엔지니어링되는 것을 허용한다.
그 결과, 원하는 유전 상수들을 획득할 뿐만 아니라 신뢰성을 나타내는 전이 금속 막을 형성하는 방법이 요구된다.
본 발명의 적어도 일 실시형태에 따르면, 막을 형성하는 방법이 개시된다. 본 방법은: 반응 챔버에서 프로세싱하기 위하여 기판을 제공하는 단계; 기판 상에 실리콘 전구체 성막을 수행하는 단계; 및 기판 상에 금속 전구체 성막을 수행하는 단계를 포함하고; 실리콘 전구체 성막 단계는 X 회 수행되고; 금속 전구체 성막 단계는 Y 회 수행되고; 전이 금속 실리케이트 막이 형성되고; 금속 전구체 성막 단계로부터의 금속 전구체는 질소 원자 또는 탄소 원자에 본딩되는 금속 원자를 포함한다.
본 발명의 적어도 일 실시형태에 따르면, 전이 금속 실리케이트 막을 형성하는 방법이 개시된다. 본 방법은 반응 챔버에서 프로세싱하기 위하여 기판을 제공하는 단계; 기판 상에 실리콘 전구체 성막을 수행하는 단계로서, 실리콘 전구체 성막을 수행하는 단계는: 실리콘 전구체를 펄싱하는 단계, 퍼지 가스로 반응 챔버로부터 실리콘 전구체를 퍼징하는 단계, 산화 전구체를 펄싱하는 단계, 및 퍼지 가스로 반응 챔버로부터 산화 전구체를 퍼징하는 단계를 포함하는, 상기 실리콘 전구체 성막을 수행하는 단계; 그리고, 기판 상에 금속 전구체 성막을 수행하는 단계로서, 금속 전구체 성막을 수행하는 단계는: 금속 전구체를 펄싱하는 단계, 퍼지 가스로 반응 챔버로부터 금속 전구체를 퍼징하는 단계, 산화 전구체를 펄싱하는 단계, 및 퍼지 가스로 반응 챔버로부터 산화 전구체를 퍼징하는 단계를 포함하는, 상기 금속 전구체 성막을 수행하는 단계를 포함하고, 실리콘 전구체 성막 단계는 X 회 반복되고; 금속 전구체 성막 단계는 Y 회 반복되고; 그리고 전이 금속 실리케이트 막이 형성되고; 금속 전구체는 질소 원자 또는 탄소 원자에 본딩되는 금속 원자를 포함한다.
본 발명, 그리고 종래 기술을 넘어 실현될 이점을 요약할 목적으로, 본 발명의 특정 목적들 및 이점들이 위에 설명되어 있다. 물론, 반드시 이러한 모든 목적들 또는 이점들이 본 발명의 임의의 특정 실시형태에 따라 실현될 수 있는 것은 아님을 이해할 것이다. 따라서, 예를 들어, 당해 기술 분야의 당업자는, 본원에 교시되거나 또는 제안될 수도 있는 다른 목적들 또는 이점들을 반드시 실현하는 것은 아닌, 본원에 교시되거나 또는 제안된 하나의 이점 또는 이점들의 그룹을 실현 또는 최적화하는 방식으로 본 발명이 구체화 또는 수행될 수도 있는 것임을 이해할 것이다.
이들 실시형태들 모두는 본원에 개시된 본 발명의 범위 내에 있는 것으로 의도된다. 이들 및 다른 실시형태들은 첨부된 도면들을 참조하는 특정 실시형태들의 다음의 상세한 설명으로부터 당해 기술 분야의 당업자에게 쉽게 자명하게 될 것이며, 본 발명은 개시된 어떠한 실시형태(들)로도 제한받지 않는다.
개시된 본 발명의 이들 및 다른 특징들, 양태들 및 이점들은 특정 실시형태들의 도면들을 참조로 아래 설명되며, 이들 실시형태들은 본 발명을 예시하기 위한 것이지 제한하기 위한 것이 아니다.
도 1 은 본 발명의 적어도 하나의 실시형태에 따른 방법을 예시하는 다이어그램이다.
도 2 는 본 발명의 적어도 하나의 실시형태에 따른 방법을 예시하는 다이어그램이다.
도 3 은 본 발명의 적어도 하나의 실시형태에 따른 방법을 예시하는 다이어그램이다.
도 4 는 본 발명의 적어도 하나의 실시형태에 따른 방법을 예시하는 다이어그램이다.
도 5 는 본 발명의 적어도 하나의 실시형태에 따른 펄싱 비에 따라 성장 레이트와 실리콘 혼합 (silicon incorporation) 을 예시하는 그래프이다.
도 6 은 본 발명의 적어도 하나의 실시형태에 따라 Rutherford 백 스캐터링 분석을 예시하는 차트이다.
도 7 은 본 발명의 적어도 하나의 실시형태에 따른 반응 시스템의 개략도이다.
도면들에서의 엘리먼트들은 단순화 및 명확화를 위하여 예시된 것으로서 반드시 일정 스케일로 도시된 것은 아님을 주지해야 한다. 예를 들어, 도면들에서 엘리먼트들의 치수들은 본 개시물의 예시된 실시형태들의 이해를 개선하도록 돕기 위하여 다른 엘리먼트들에 비해 과장될 수도 있다.
특정 실시형태들 및 예들이 아래 개시되어 있지만, 본 발명은 구체적으로 개시된 실시형태들 및/또는 본 발명의 이용들 및 이들의 명백한 수정예들 및 등가물들을 너머 확장되는 것임이 당해 기술 분야의 당업자에 의해 이해될 것이다. 따라서, 개시된 본 발명의 범위는 아래 설명된 개시된 특정 실시형태에 의해 제한되지 않아야 하는 것으로 의도된다.
도 1 은 본 발명의 적어도 하나의 실시형태에 따라 전이 금속 실리케이트 막이 기판 상에 형성될 수 있는 프로세스를 예시한다. 기판은 실리콘 기판, 실리콘-캡핑된 게르마늄 기판, Ge 기판, SiGe 기판 또는 III-V 반도체 기판 (이를 테면, InGaAs) 일 수도 있다. 금속 실리케이트 막, 이를 테면, 란탄 실리케이트 (LaSiO) 막을 형성하기 위하여, 마스터 사이클은 2 개의 서브사이클들을 포함할 수도 있다. 하나의 서브사이클은 실리콘 산화물 서브사이클 (100) 일 수도 있는 한편, 다른 서브사이클은 금속 산화물 서브사이클 (200) 일 수도 있다. 실리콘 산화물 서브사이클 (100) 은 반복 사이클 (310) 을 통하여 반복될 수도 있는 한편, 금속 산화물 서브사이클 (200) 은 반복 사이클 (320) 을 통하여 반복될 수도 있다. 전체 프로세스는 마스터 반복 사이클 (300) 을 통하여 반복될 수도 있다. 적어도 하나의 실시형태에 따르면, 하나의 마스터 사이클을 완성하기 위하여, 실리콘 산화물 서브사이클 (100) 은 반복 사이클 (310) 을 통하여 X 회 반복될 수도 있고, 금속 산화물 서브사이클 (200) 은 반복 사이클 (320) 을 통하여 Y 회 반복될 수도 있다. X:Y 의 비는 LaSiO 막의 성장 레이트를 조정하는데 이용될 수도 있다.
본 발명의 적어도 하나의 실시형태에서, 서브사이클들의 순서는 서브사이클들의 순서가 샌드위치 구조에 있을 수 있도록 변경될 수도 있다. 예를 들어, 란탄 산화물 서브사이클에 대한 실리콘 산화물 서브사이클의 펄스 비가 2:1과 같으면, 전구체 성막은 하나의 실리콘 산화물 서브사이클 (100) 과 이에 후속하여 란탄 산화물 서브사이클 (200), 그리고 나서 실리콘 산화물 서브사이클 (100) 로서 진행될 수도 있다. 본 발명의 다른 실시형태에서, 서브사이클들의 순서는 다른 서브사이클이 첫번째 또는 마지막일 수 있도록 될 수도 있다. 서브사이클들은 막의 조합 대 기판으로부터의 수직 거리를 효과적으로 그레이드하기 위하여 비고정된 비들로 삽입될 수도 있다.
또한, 서브사이클들의 상이한 순서들이 유사한 특성들을 갖는 막을 가져오는 것도 가능할 수도 있다. 도 2 는 본 발명의 적어도 하나의 실시형태에 따른 프로세스를 예시하며, 여기에서, 실리콘 산화물 서브사이클 (100) 전에 금속 산화물 서브사이클 (200) 이 온다. 또한, 본 발명의 적어도 하나의 실시형태에 따르면, 란탄 전구체 펄스/퍼지, 이에 후속하여 실리콘 전구체 펄스/퍼지, 그리고 나서, 산화물 전구체 펄스/퍼지는 위에 설명된 샌드위치 순서에 의해 생성되는 것과 유사한 막을 가져올 수도 있다.
도 3 은 본 발명의 적어도 하나의 실시형태에 따른 실리콘 산화물 서브사이클 (100) 을 예시한다. 실리콘 산화물 서브사이클 (100) 은 실리콘 (Si) 전구체 펄스/퍼지 (110) 및 산소 전구체 펄스/퍼지 (120) 를 포함할 수 있다. Si 전구체는 다음: 실리콘 할라이드계 전구체, 이를 테면, 실리콘 테트라클로라이드 (SiCl4), 트리클로로실란 (SiCl3H), 디클로로실란 (SiCl2H2), 모노클로로실란 (SiClH3), 헥사클로로디실란 (HCDS), 옥타클로로트리실란 (OCTS), 실리콘 요오드화물, 또는 실리콘 브롬화물; 아미노계 전구체, 이를 테면, 헥사키스(에틸아미노)디실란 (AHEAD) 및 SiH[N(CH3)2]3(3DMASi); 비스(디알킬아미노)실란들, 이를 테면 BDEAS (비스(디에틸아미노)실란); 및 모노(알킬아미노)실란들, 이를 테면, 디-이소프로필아미노실란; 또는 옥시실란계 전구체, 이를 테면, 테트라에톡시실란 Si(OC2H5)4 중 적어도 하나를 포함할 수도 있다. 이 프로세스를 위한 통상의 온도들은 100-450 ℃, 또는 150-400 ℃, 또는 175-350 ℃, 또는 200-300 ℃의 범위인 한편, 압력들은 1 내지 10 Torr 일 수도 있다.
본 발명에 따르는 다른 실시형태들에서, 산소 전구체 펄스/퍼지 (120) 는 물 (H2O); 이원자 산소 (O2); 과산화수소 (H2O2); 오존 (O3); 산소 플라즈마; 산소 원자 (O); 산소 라디컬들; 또는 메틸 알코올 (CH3OH) 중 적어도 하나의 펄스 및 퍼지를 수반할 수도 있다. 상이한 산화 전구체들이 상이한 사이클들에 이용될 수 있으며; 예를 들어, O3 가 실리콘 산화물 서브사이클에 이용될 수도 있는 한편, 물이 란탄 산화물 서브사이클에 이용될 수 있는 것이 가능할 수도 있다. 본 발명의 다른 실시형태들에서, 이는 오존, O2, H2O2, H2O 메틸 알코올, 또는 산소 플라즈마를 포함하지 않는 산소 소스를 이용하는 것도 가능할 수도 있다.
도 4 는 본 발명의 적어도 하나의 실시형태에 따른 금속 산화물 서브사이클 (200) 을 예시한다. 금속 산화물 서브사이클 (또는 희토류 금속 전구체 서브사이클)(200) 은 금속 전구체 펄스/퍼지 (210) 및 산소 전구체 펄스/퍼지 (220) 를 포함할 수도 있다. 본 발명의 일부 실시형태들에서, 희토류 금속 전구체 (이를 테면, 예를 들어, 란탄 (La), 스칸듐 (Sc), 이트륨 (Y), Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb 또는 Lu) 는 희토류 금속과 질소 사이의 본드 또는 희토류 금속과 탄소 사이의 본드를 포함할 수도 있다. 본 발명의 일부 실시형태들에서, 희토류 금속 전구체는 2 개의 질소 원자들을 통하여 란탄에 본딩되는 바이덴테이트 리간드를 포함할 수도 있다. 본 발명의 일부 실시형태들에서, 희토류 금속 전구체에서의 희토류 금속 (예를 들어, 란탄) 은 +III 의 산화 상태를 갖는다. 본 발명의 일부 실시형태들에서, 희토류 금속 전구체는 3 유기성 리간드들, 이를 테면, 질소 또는 탄소를 포함하는 리간드를 갖는다. 일부 실시형태들에서, 희토류 금속 전구체 (예를 들어, 란탄) 는 실리콘 또는 게르마늄을 포함하지 않을 수도 있다. 일부 실시형태들에서, 금속 전구체는 질소 원자 또는 탄소 원자에 본딩되는 금속 원자를 포함할 수도 있다.
본 발명의 적어도 하나의 실시형태에서, 금속 전구체 펄스/퍼지 (210) 에서의 금속 전구체는 다음: 아미디네이트계 전구체, 이를 테면, 란탄 포름아미디네이트 (La(FAMD)3) 또는 트리스(N,N'-디이소프로필아세트아미디네이트)란탄 (La(iPrAMD)3); 디케토네이트 전구체, 이를 테면, (La(THD)3); Cp(시클로펜타디에닐)-계 전구체, 이를 테면, 트리스(이소프로필-시클로펜타디에닐)란탄 (La(iPrCp)3); 또는 아미노계 케미스트리, 이를 테면, 트리스(비스트리메틸실릴아미도)-란탄 (La[N(SiMe3)2]3); 또는 위의 하이브리드 조합들 중 하나일 수도 있다. 본 발명에 따르는 다른 실시형태들에서, 금속 전구체는 질소 사이의 본드를 갖는 란탄 또는 다른 희토류 금속 전구체, 이를 테면, 예를 들어, 란탄 아미디네이트일 수도 있다. 아미디네이트 화합물들은 질소와 란탄 또는 희토류 금속 사이의 본드를 가져오는 디로컬라이즈 전자들을 포함할 수도 있다. 본 발명에 따르는 다른 실시형태들에서, 금속 전구체는 탄소와의 본드를 갖는 란탄 또는 다른 희토류 금속 전구체, 이를 테면, 예를 들어, 란탄 시클로펜타디에닐일 수도 있다. 이 금속 전구체는 탄소와 란탄 또는 희토류 금속 사이의 본드를 가져오는, 화합물인 것으로 고려되는, 디로컬라이즈 전자들을 포함할 수도 있다. 본 발명에 따르는 다른 실시형태들에서, 금속 전구체는 예를 들어, 질소와 탄소 양쪽 모두와의 본드를 갖는 란탄 또는 다른 희토류 금속 전구체, 이를 테면, 예를 들어, 란탄 아미디네이트 및 란탄 시클로펜타디에닐 화합물일 수도 있다.
본 발명에 따르는 다른 실시형태들에서, 산소 전구체 펄스/퍼지 (200) 는 물 (H2O), 이원자 산소 (O2), 과산화수소 (H2O2), 오존 (O3), 산소 플라즈마, 산소 라디컬들, 산소 원자 (O), 또는 메틸 알코올 (CH3OH) 중 적어도 하나를 수반할 수도 있다. 금속 산화물 서브사이클 (200) 은 무엇이 마지막 원하는 산물인지에 의존하여 이트륨 산화물 서브사이클 또는 다른 성분들의 서브사이클로 대체될 수도 있다. 다른 성분들은 무엇보다도 란타나이드, 에르븀, 에르븀 산화물, 마그네슘, 마그네슘 산화물, 스칸듐, 또는 스칸듐 산화물일 수도 있다. 이들 다른 재료들은 또한 이들이 Vt 시프트를 야기하는 능력을 보여줄 때 바람직할 수도 있다. 이트륨에서, 이트륨 서브사이클은 이트륨 펄스, 이트륨 전구체의 퍼지, H2O 펄스, 및 H2O 전구체의 퍼지를 포함할 수도 있다. 이트륨 전구체는 다음: Cp(시클로펜타디에닐)-계 케미스트리, 이를 테면, Y(EtCp)3 및 트리스(메틸시클로펜타디에닐)이트륨 (Y(MeCp)3); 아미디네이트-계 전구체, 이를 테면, 트리스(N,N'-디이소프로필아세트아미디네이토) 이트륨 (TDIPAY); 디케토네이트 전구체, 이를 테면 (Y(THD)3) 및 트리스(2,2,6,6-테트라메틸-3,5-옥탄디오네이토) 이트륨 (Y(tmod)3); 또는 아미드계 전구체, 이를 테면, 트리스[N,N-비스(트리메틸실릴)아미드]이트륨 중 하나일 수도 있다. 이 프로세스를 위한 통상의 온도들은 100-450 ℃, 또는 150-400 ℃, 또는 175-350 ℃, 또는 200-300 ℃의 범위이며 압력들은 1 내지 10 Torr 의 범위이다.
실리콘 및 금속 산화물 서브사이클들의 펄스 비 X:Y 는 금속 실리케이트 막으로의 실리콘 (Si) 의 혼합을 허용할 수도 있다. 펄스 비 X:Y 는 5:1, 7:1, 10:1, 및 20:1 이도록 하는 범위일 수도 있다. 도 5 는 상이한 펄스 비들 X:Y 에 기초하는 실리콘 혼합의 그래프를 예시한다. 더 높은 X:Y 의 펄스 비들에서는, 실리콘의 혼합이 더 커지고 그 결과 높은 실리콘 함유량을 가져온다. 펄스 비의 제어는 Si 혼합이 65% 를 초과하는 것을 가능하게 할 수 있다. Si 함유량은 낮은 레벨로부터 높은 레벨들로 변할 수도 있다. 예를 들어, 실리콘 함유량은 5 at-% Si 초과, 10 at-% Si 초과, 15 at-% Si 초과, 또는 20 at-% Si 초과가 되도록 하는 범위일 수도 있다. 순수 실리콘 산화물 막은 대략 33 at-% 의 실리콘 함유량을 가질 수도 있다. LaSiO 막을 형성하는 경우에, 더 높은 Si 함유량은 LaO 의 하이그로스코픽 특성을 감소시킬 수도 있고, 또한 다음의 하이-k 성장과의 양립가능성을 개선할 수도 있다. 65% 를 초과하는 실리콘 혼합은 (TMA 대 AlCl3 프로세스들에 대하여) 약 30-40% 의 평균의 경향을 나타내는 알루미늄 실리케이트 (AlSiO) 에 대한 것보다 상당히 더 높은 것이다.
본 발명의 적어도 하나의 실시형태를 통하여 획득되는 추가적인 이점들은 더 낮은 탄소 불순물 레벨을 포함한다. 탄소는 트랩 중심으로서 고려되며, 성막된 막을 이용하여 형성되는 디바이스의 성능을 열화시킬 수도 있다. 그 결과, 탄소 레벨이 낮은 것이 바람직할 수도 있다.
탄소는 강한 산소 반응물, 이를 테면, 오존 또는 산소 플라즈마들이 이용되면 쉽게 형성될 수도 있다. 이들 강한 반응물들은 기판의 산화를 더 크게 할 수도 있다. ALD 를 통하여 성막된 통상적인 LaOx 막들은 15-20% 사이의 높은 탄소 불순물 레벨을 나타낸다. 또한, 통상적인 LaOx 막들은 또한 낮은 실리콘 혼합과 함께 높은 수산화물 불순물들을 보여줄 수도 있다.
본 발명의 적어도 하나의 실시형태에 따르면, 실리콘 할라이드 전구체, 질소/탄소 원자와의 본드를 갖는 희토류 전구체, 적절한 산소 전구체 (이를 테면, 물), 및 고이동도 채널 재료의 조합이 더 낮은 탄소 불순물 레벨에 대한 이유로 될 수도 있다. 적절한 산소 전구체는 기판의 산화가 거의 없게 하여, 추가적인 재료들, 이를 테면, ALD 에 의해 형성되는 하이-k 재료의 후속하는 성막에 대한 양호한 표면 또는 계면을 잠재적으로 제공한다.
도 6 에 도시된 바와 같이, 본 발명에 따른 실시형태들을 통하여 성막된 LaSiO 막들은 펄스 비 X:Y 에 의존하여 5 % 미만의 훨씬 더 낮은 탄소 불순물 레벨을 나타낸다. 이들 백분율들은 Rutherford 백-스캐터링 (RBS) 분석 방법을 통하여 결정된다. LaSiO 막은 또한 10 at-% 미만의 수소 불순물들, 약 5 at-% 미만의 탄소 불순물들, 및/또는 약 2 at-% 미만의 질소 불순물들을 보여줄 수도 있다. 본 발명의 적어도 하나의 실시형태에 따르면, LaSiO 막은 20 at-% 미만, 15 at-% 미만, 10 at-% 미만, 또는 5 at-% 미만의 수소 함유량을 가질 수도 있다. 본 발명의 적어도 하나의 실시형태에 따르면, LaSiO 막은 10 at-% 미만, 5 at-% 미만, 2 at-% 미만, 또는 1 at-% 미만의 탄소 함유량을 가질 수도 있다. 본 발명의 적어도 하나의 실시형태에 따르면, LaSiO 막은 10 at-% 미만, 5 at-% 미만, 2 at-% 미만, 또는 1 at-% 미만의 질소 함유량을 가질 수도 있다.
본 발명의 적어도 하나의 실시형태에 따르면, 란탄 수산화물 막 (La(OH)3) 이 형성될 수도 있다. 본 발명의 적어도 하나의 실시형태에서, 란탄 수산화물 막 (La(OH)3) 에 대해, 수소 함유량은 43% 미만일 수 있다. 본 발명의 적어도 하나의 실시형태에 따르면, 란탄 수산화물막은 20 mol-% 미만의 수산화물 (OH), 15 mol-% 미만의 수산화물 (OH), 10 mol-% 미만의 수산화물 (OH), 또는 5 mol-% 미만의 수산화물 (OH) 범위에 있는 수소 불순물들을 가질 수도 있다.
도 7 은 본 발명의 적어도 하나의 실시형태들에 따른 방법을 수행가능한 반응 시스템 세트업을 예시한다. 반응 시스템은 4 개의 프로세스 모듈들을 포함한다. 프로세스 모듈들 (Process modules; PM) 은 ASM International N.V. 사에 의해 제조된 Pulsar® 3000 모듈들 또는 Horizon 모듈들을 포함할 수도 있다. 다른 반응 시스템 세트업들은 미니-배치 반응기, 듀얼 챔버 모듈 반응기, 배치 반응기, 크로스-플로우 반응기, 또는 샤워헤드 반응기를 포함할 수도 있다. 물 핸들링 시스템은 프로세싱될 물을 상이한 모듈들로 전달할 수도 있다. 하나의 프로세스 모듈에서, 게르마늄/실리콘 게르마늄 또는 III-V 기판 (이를 테면, InGaAs) 에 대한 계면층이 본 발명의 적어도 하나의 실시형태에 따른 방법을 통하여 형성될 수도 있다. 다른 프로세스 모듈에서, 다른 성장 프로세스들 (development processes), 이를 테면, Ge/SiGe 채널들 또는 III-V 기판 (이를 테면, InGaAs) 의 표면 패시베이션이 발생할 수도 있다.
도시되고 설명된 특정 구현형태들은 본 발명 및 그 최상의 모드의 예시이며, 양태들 및 구현형태들의 범위를 임의의 방식으로 달리 제한하지 않도록 의도된다. 실제로, 간략화를 위하여, 시스템의 통상의 제조, 연결, 준비, 및 다른 기능적 양태들은 상세히 설명되지 않을 수도 있다. 또한, 여러 도면들에 도시된 연결 라인들은 여러 소자들 사이의 예시적인 기능 관계들 및/또는 물리적 커플링들을 표현하도록 의도된다. 많은 대안의 또는 추가적인 기능적 관계 또는 물리적 연결들이 실제 시스템에 존재할 수도 있고/있거나 일부 실시형태들에서는 존재하지 않을 수도 있다.
본원에서 설명된 구성들 및/또는 접근법들은 사실상 예이고, 다양한 변동들이 가능하기 때문에, 이러한 특정 실시형태들 또는 예들은 제한하는 의미로 고려되지 않음이 이해될 것이다. 본원에 설명된 특정 루틴들 또는 방법들은 임의의 개수의 프로세싱 계획들 중 하나 이상을 나타낼 수도 있다. 따라서, 설명된 다양한 작동들은 설명된 시퀀스, 다른 시퀀스로 수행되거나 일부 경우들에서는 생략될 수도 있다.
본 개시물의 청구물은 다양한 프로세스들, 시스템들 및 구성들의 모든 신규하고 자명하지 않은 결합들 및 서브결합들, 및 본원에 개시된 다른 특징들, 기능들, 작동들, 및/또는 특성들, 뿐만 아니라 이들의 임의의 그리고 모든 등가물들을 포함한다.

Claims (30)

  1. 막을 형성하는 방법으로서,
    반응 챔버에서 프로세싱하기 위하여 기판을 제공하는 단계;
    상기 기판 상에 실리콘 전구체 성막을 수행하는 단계; 및
    상기 기판 상에 금속 전구체 성막을 수행하는 단계를 포함하고;
    상기 실리콘 전구체 성막 단계는 X 회 수행되고;
    상기 금속 전구체 성막 단계는 Y 회 수행되고;
    전이 금속 실리케이트 막이 형성되고;
    상기 금속 전구체 성막 단계로부터의 금속 전구체는 질소 원자 또는 탄소 원자에 본딩되는 금속 원자를 포함하는, 막을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 실리콘 전구체 성막을 수행하는 단계는:
    실리콘 전구체를 펄싱하는 단계;
    퍼지 가스로 상기 반응 챔버로부터 상기 실리콘 전구체를 퍼징하는 단계;
    산화 전구체를 펄싱하는 단계; 및
    상기 퍼지 가스로 상기 반응 챔버로부터 상기 산화 전구체를 퍼징하는 단계를 더 포함하는, 막을 형성하는 방법.
  3. 제 2 항에 있어서,
    상기 실리콘 전구체는 실리콘 할라이드계 전구체, 이를 테면, 실리콘 테트라클로라이드 (SiCl4), 트리클로로실란 (SiCl3H), 디클로로실란 (SiCl2H2), 모노클로로실란 (SiClH3), 헥사클로로디실란 (HCDS), 옥타클로로트리실란 (OCTS), 실리콘 요오드화물, 또는 실리콘 브롬화물; 아미노계 전구체, 이를 테면, 헥사키스(에틸아미노)디실란 (AHEAD) 및 SiH[N(CH3)2]3(3DMASi); 비스(디알킬아미노)실란들, 이를 테면 BDEAS (비스(디에틸아미노)실란); 및 모노(알킬아미노)실란들, 이를 테면, 디-이소프로필아미노실란; 또는 옥시실란계 전구체, 이를 테면, 테트라에톡시실란 Si(OC2H5)4 중 적어도 하나를 포함하는, 막을 형성하는 방법.
  4. 제 2 항에 있어서,
    상기 산화 전구체는 물 (H2O); 과산화수소 (H2O2); 산소 (O2); 오존 (O3); 산소 플라즈마; 또는 메틸 알코올 (CH3OH) 중 적어도 하나를 포함하는, 막을 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 금속 전구체 성막을 수행하는 단계는:
    금속 전구체를 펄싱하는 단계;
    퍼지 가스로 상기 반응 챔버로부터 상기 금속 전구체를 퍼징하는 단계;
    산화 전구체를 펄싱하는 단계; 및
    상기 퍼지 가스로 상기 반응 챔버로부터 상기 산화 전구체를 퍼징하는 단계를 더 포함하는, 막을 형성하는 방법.
  6. 제 5 항에 있어서,
    상기 금속 전구체는 란탄; 이트륨; 아미디네이트계 전구체, 이를 테면, 란탄 포름아미디네이트 (La(FAMD)3), 트리스(N,N'-디이소프로필아세트아미디네이토)란탄 (La(iPrAMD)3), 또는 트리스(N,N'-디이소프로필아세트아미디네이토) 이트륨 (TDIPAY); Cp(시클로펜타디에닐)계 전구체, 이를 테면, 트리스(이소프로필-시클로펜타디에닐) 란탄 (La(iPrCp)3), Y(EtCp)3, 또는 트리스(메틸시클로펜타디에닐)이트륨 (Y(MeCp)3); 아미노계 케미스트리, 이를 테면, 트리스(비스트리메틸실릴아미도)-란탄 (La[N(SiMe3)2]3); 디케토네이트계 전구체, 이를 테면, (La(THD)3), (Y(THD)3), 또는 트리스(2,2,6,6-테트라메틸-3,5-옥탄디오네이토)이트륨 (Y(tmod)3); 또는 아미드계 전구체, 이를 테면, 트리스[N,N-비스(트리메틸실릴)아미드]이트륨 중 적어도 하나를 포함하는, 막을 형성하는 방법.
  7. 제 5 항에 있어서,
    상기 산화 전구체는 물 (H2O); 과산화수소 (H2O2); 산소 (O2); 오존 (O3); 산소 플라즈마; 산소 원자 (O); 산소 라디컬들; 또는 메틸 알코올 (CH3OH) 중 적어도 하나를 포함하는, 막을 형성하는 방법.
  8. 제 2 항에 있어서,
    상기 퍼지 가스는 질소 (N2) 및 아르곤 (Ar) 중 적어도 하나를 포함하는, 막을 형성하는 방법.
  9. 제 5 항에 있어서,
    상기 퍼지 가스는 질소 (N2) 및 아르곤 (Ar) 중 적어도 하나를 포함하는, 막을 형성하는 방법.
  10. 제 1 항에 있어서,
    상기 실리콘 전구체 성막을 수행하는 단계, 및 상기 금속 전구체 성막을 수행하는 단계는 상기 전이 금속 실리케이트 막이 원하는 두께에 도달할 때까지 반복되는, 막을 형성하는 방법.
  11. 제 1 항에 있어서,
    상기 방법은 원자층 성막 (atomic layer deposition; ALD) 프로세스를 이용하여 수행되는, 막을 형성하는 방법.
  12. 제 1 항에 있어서,
    상기 전이 금속 실리케이트 막은 란탄 실리케이트, 이트륨 실리케이트, 마그네슘 실리케이트, 에르븀 실리케이트, 또는 다른 희토류 금속 실리케이트 중 하나를 포함하는, 막을 형성하는 방법.
  13. 제 1 항에 있어서,
    형성된 상기 전이 금속 실리케이트 막은 약 20 at-% 미만의 수소 불순물들, 약 15 at-% 미만의 수소 불순물들, 약 10 at-% 미만의 수소 불순물들, 또는 약 5 at-% 미만의 수소 불순물들을 포함하는, 막을 형성하는 방법.
  14. 제 1 항에 있어서,
    형성된 상기 전이 금속 실리케이트 막은 약 10 at-% 미만의 탄소 불순물들, 약 5 at-% 미만의 탄소 불순물들, 약 2 at-% 미만의 탄소 불순물들, 또는 약 1 at-% 미만의 탄소 불순물들을 포함하는, 막을 형성하는 방법.
  15. 제 1 항에 있어서,
    형성된 상기 전이 금속 실리케이트 막은 약 10 at-% 미만의 질소 불순물들, 약 5 at-% 미만의 질소 불순물들, 약 2 at-% 미만의 질소 불순물들, 또는 약 1 at-% 미만의 질소 불순물들을 포함하는, 막을 형성하는 방법.
  16. 제 5 항에 있어서,
    상기 금속 전구체는 아미디네이트 전구체를 포함하는, 막을 형성하는 방법.
  17. 제 1 항에 있어서,
    상기 전이 금속 실리케이트 막은 100-450 ℃, 150-400 ℃, 175-350 ℃, 또는 200-300 ℃ 의 반응 온도에서 형성되는, 막을 형성하는 방법.
  18. 제 1 항에 있어서,
    상기 전이 금속 실리케이트 막으로의 실리콘 인테그레이션 (silicon integration) 의 범위는 X 대 Y 의 비에 의존하는, 막을 형성하는 방법.
  19. 제 1 항에 있어서,
    상기 기판은 실리콘 기판, 실리콘-캡핑된 게르마늄 기판, Ge 기판, SiGe 기판 또는 III-V 반도체 기판 중 적어도 하나를 포함하는, 막을 형성하는 방법.
  20. 전이 금속 실리케이트 막을 형성하는 방법으로서,
    반응 챔버에서 프로세싱하기 위하여 기판을 제공하는 단계;
    상기 기판 상에 실리콘 전구체 성막을 수행하는 단계; 및
    상기 기판 상에 금속 전구체 성막을 수행하는 단계를 포함하고,
    상기 실리콘 전구체 성막을 수행하는 단계는:
    실리콘 전구체를 펄싱하는 단계;
    퍼지 가스로 상기 반응 챔버로부터 상기 실리콘 전구체를 퍼징하는 단계;
    산화 전구체를 펄싱하는 단계; 및
    상기 퍼지 가스로 상기 반응 챔버로부터 상기 산화 전구체를 퍼징하는 단계를 포함하고,
    상기 금속 전구체 성막을 수행하는 단계는:
    금속 전구체를 펄싱하는 단계;
    퍼지 가스로 상기 반응 챔버로부터 상기 금속 전구체를 퍼징하는 단계;
    산화 전구체를 펄싱하는 단계; 및
    상기 퍼지 가스로 상기 반응 챔버로부터 상기 산화 전구체를 퍼징하는 단계를 포함하고,
    상기 실리콘 전구체 성막의 단계는 X 회 반복되고;
    상기 금속 전구체 성막의 단계는 Y 회 반복되고; 그리고
    상기 전이 금속 실리케이트 막이 형성되고;
    상기 금속 전구체는 질소 원자 또는 탄소 원자에 본딩되는 금속 원자를 포함하는, 전이 금속 실리케이트 막을 형성하는 방법.
  21. 제 20 항에 있어서,
    상기 실리콘 전구체는 실리콘 할라이드계 전구체, 이를 테면, 실리콘 테트라클로라이드 (SiCl4), 트리클로로실란 (SiCl3H), 디클로로실란 (SiCl2H2), 모노클로로실란 (SiClH3), 헥사클로로디실란 (HCDS), 옥타클로로트리실란 (OCTS), 실리콘 요오드화물, 또는 실리콘 브롬화물; 아미노계 전구체, 이를 테면, 헥사키스(에틸아미노)디실란 (AHEAD) 및 SiH[N(CH3)2]3(3DMASi); 비스(디알킬아미노)실란, 이를 테면 BDEAS (비스(디에틸아미노)실란); 및 모노(알킬아미노)실란, 이를 테면, 디-이소프로필아미노실란; 또는 옥시실란계 전구체, 이를 테면, 테트라에톡시실란 Si(OC2H5)4 중 적어도 하나를 포함하는, 전이 금속 실리케이트 막을 형성하는 방법.
  22. 제 20 항에 있어서,
    상기 금속 전구체는 란탄; 이트륨; 아미디네이트계 전구체, 이를 테면, 란탄 포름아미디네이트 (La(FAMD)3), 트리스(N,N'-디이소프로필아세트아미디네이토)란탄 (La(iPrAMD)3), 또는 트리스(N,N'-디이소프로필아세트아미디네이토) 이트륨 (TDIPAY); Cp(시클로펜타디에닐)계 전구체, 이를 테면, 트리스(이소프로필-시클로펜타디에닐) 란탄 (La(iPrCp)3), Y(EtCp)3, 또는 트리스(메틸시클로펜타디에닐)이트륨 (Y(MeCp)3); 아미노계 케미스트리, 이를 테면, 트리스(비스트리메틸실릴아미도)-란탄 (La[N(SiMe3)2]3); 디케토네이트계 전구체, 이를 테면, (La(THD)3), (Y(THD)3), 또는 트리스(2,2,6,6-테트라메틸-3,5-옥탄디오네이토)이트륨 (Y(tmod)3); 또는 아미드계 전구체, 이를 테면, 트리스[N,N-비스(트리메틸실릴)아미드]이트륨 중 적어도 하나를 포함하는, 전이 금속 실리케이트 막을 형성하는 방법.
  23. 제 20 항에 있어서,
    상기 산화 전구체는 물 (H2O); 과산화수소 (H2O2); 산소 (O2); 오존 (O3); 산소 플라즈마; 산소 원자 (O); 산소 라디컬들; 또는 메틸 알코올 (CH3OH) 중 적어도 하나를 포함하는, 전이 금속 실리케이트 막을 형성하는 방법.
  24. 제 20 항에 있어서,
    상기 전이 금속 실리케이트 막은 약 100-450 ℃, 또는 150-400 ℃, 또는 175-350 ℃, 또는 200-300 ℃ 의 반응 온도에서 형성되는, 전이 금속 실리케이트 막을 형성하는 방법.
  25. 제 20 항에 있어서,
    상기 전이 금속 실리케이트 막으로의 실리콘 인테그레이션의 범위는 X 대 Y 의 비에 의존하고, 상기 비는 대략 5:1, 대략 10:1, 대략 15:1, 또는 대략 20:1 인, 전이 금속 실리케이트 막을 형성하는 방법.
  26. 제 20 항에 있어서,
    상기 방법은 원자층 성막 (atomic layer deposition; ALD) 프로세스를 이용하여 수행되는, 전이 금속 실리케이트 막을 형성하는 방법.
  27. 제 20 항에 있어서,
    상기 퍼지 가스는 질소 (N2) 및 아르곤 (Ar) 중 적어도 하나를 포함하는, 전이 금속 실리케이트 막을 형성하는 방법.
  28. 제 20 항에 있어서,
    상기 전이 금속 실리케이트 막은 란탄 실리케이트, 이트륨 실리케이트, 마그네슘 실리케이트, 에르븀 실리케이트, 또는 다른 희토류 금속 실리케이트 중 하나를 포함하는, 전이 금속 실리케이트 막을 형성하는 방법.
  29. 제 20 항에 있어서,
    상기 기판은 실리콘 기판, 실리콘-캡핑된 게르마늄 기판, Ge 기판, SiGe 기판 또는 III-V 반도체 기판 중 적어도 하나를 포함하는, 전이 금속 실리케이트 막을 형성하는 방법.
  30. 반응 챔버로서,
    제 20 항에 기재된 방법을 수행하도록 구성되는, 반응 챔버.
KR1020160133461A 2015-10-16 2016-10-14 게이트 유전체들에 대한 원자층 성막의 구현을 위한 방법 및 장치 KR102623131B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562242804P 2015-10-16 2015-10-16
US62/242,804 2015-10-16

Publications (2)

Publication Number Publication Date
KR20170045131A true KR20170045131A (ko) 2017-04-26
KR102623131B1 KR102623131B1 (ko) 2024-01-09

Family

ID=58524100

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160133461A KR102623131B1 (ko) 2015-10-16 2016-10-14 게이트 유전체들에 대한 원자층 성막의 구현을 위한 방법 및 장치

Country Status (4)

Country Link
US (1) US20170110313A1 (ko)
KR (1) KR102623131B1 (ko)
CN (2) CN115838916A (ko)
TW (1) TWI740848B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180134037A (ko) * 2017-06-08 2018-12-18 삼성전자주식회사 반도체 장치 제조 방법
KR20210019045A (ko) * 2019-03-15 2021-02-19 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR102614467B1 (ko) * 2022-11-30 2023-12-14 에스케이트리켐 주식회사 스칸듐 또는 이트륨 함유 박막 형성용 전구체, 이를 이용한 스칸듐 또는 이트륨 함유 박막 형성 방법 및 상기 스칸듐 또는 이트륨 함유 박막을 포함하는 반도체 소자.

Families Citing this family (295)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
TWI685970B (zh) * 2018-03-21 2020-02-21 漢民科技股份有限公司 半導體結構
TWI652820B (zh) 2018-03-21 2019-03-01 漢民科技股份有限公司 半導體結構的製造方法及半導體裝置
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) * 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11401599B2 (en) 2018-06-18 2022-08-02 Applied Materials, Inc. Erosion resistant metal silicate coatings
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) * 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200099986A (ko) 2019-02-14 2020-08-25 에이에스엠 아이피 홀딩 비.브이. 반응 챔버에서 주기적 증착 공정에 의해 기판 상에 하프늄 란타늄 산화물 막을 증착하는 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005217409A (ja) * 2004-01-29 2005-08-11 Samsung Electronics Co Ltd 半導体素子の多層誘電体構造物、半導体及びその製造方法
JP2009545138A (ja) * 2006-07-21 2009-12-17 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
JP2010156058A (ja) * 2002-11-15 2010-07-15 President & Fellows Of Harvard College 金属アミジナートを用いる原子層の析出

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5290488B2 (ja) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
GB2391555A (en) * 2002-08-09 2004-02-11 Epichem Ltd Vapour phase deposition of silicate and oxide films
TW200408015A (en) * 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP2010506408A (ja) * 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US8283201B2 (en) * 2008-06-05 2012-10-09 American Air Liquide, Inc. Preparation of lanthanide-containing precursors and deposition of lanthanide-containing films
US20100034719A1 (en) * 2008-08-06 2010-02-11 Christian Dussarrat Novel lanthanide beta-diketonate precursors for lanthanide thin film deposition
US8507389B2 (en) * 2009-07-17 2013-08-13 Applied Materials, Inc. Methods for forming dielectric layers
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI536451B (zh) * 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010156058A (ja) * 2002-11-15 2010-07-15 President & Fellows Of Harvard College 金属アミジナートを用いる原子層の析出
JP2005217409A (ja) * 2004-01-29 2005-08-11 Samsung Electronics Co Ltd 半導体素子の多層誘電体構造物、半導体及びその製造方法
JP2009545138A (ja) * 2006-07-21 2009-12-17 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180134037A (ko) * 2017-06-08 2018-12-18 삼성전자주식회사 반도체 장치 제조 방법
KR20210019045A (ko) * 2019-03-15 2021-02-19 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR102614467B1 (ko) * 2022-11-30 2023-12-14 에스케이트리켐 주식회사 스칸듐 또는 이트륨 함유 박막 형성용 전구체, 이를 이용한 스칸듐 또는 이트륨 함유 박막 형성 방법 및 상기 스칸듐 또는 이트륨 함유 박막을 포함하는 반도체 소자.

Also Published As

Publication number Publication date
TW201725278A (zh) 2017-07-16
US20170110313A1 (en) 2017-04-20
KR102623131B1 (ko) 2024-01-09
CN115838916A (zh) 2023-03-24
TWI740848B (zh) 2021-10-01
CN106591800A (zh) 2017-04-26

Similar Documents

Publication Publication Date Title
KR102623131B1 (ko) 게이트 유전체들에 대한 원자층 성막의 구현을 위한 방법 및 장치
KR102602311B1 (ko) NbMC 층
KR102524573B1 (ko) SiOCN 박막들의 형성
US10818758B2 (en) Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US8361910B2 (en) Pretreatment processes within a batch ALD reactor
KR101427142B1 (ko) 금속 규산염 막의 원자층 증착
US20070065578A1 (en) Treatment processes for a batch ALD reactor
KR102216575B1 (ko) 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
KR20140113484A (ko) 티타늄 카바이드 막들을 위한 실란 및 보란 처리들
KR20060123239A (ko) 실리콘 질화물의 저온 증착
KR20140031811A (ko) GeO2의 원자층 증착
JP2005533390A (ja) 混合成分を有する薄膜の分子層蒸着
CN111564361A (zh) 用于通过反应室中的循环沉积工艺在衬底上沉积铪镧氧化物膜的方法
US8828866B1 (en) Methods for depositing a tantalum silicon nitride film
US10490475B2 (en) Methods for semiconductor passivation by nitridation after oxide removal
TW201330113A (zh) 矽化鉭內的摻雜鋁
KR102645319B1 (ko) 박막 형성용 조성물, 이를 이용한 박막 및 그 제조방법
TW202249067A (zh) 用於形成包含釩及氮的層之方法及系統
KR20210132600A (ko) 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20070047904A (ko) 탄탈륨지르코늄산화막을 구비한 반도체소자 및 그의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant