KR20170016748A - 물질막 형성 방법 - Google Patents

물질막 형성 방법 Download PDF

Info

Publication number
KR20170016748A
KR20170016748A KR1020150110234A KR20150110234A KR20170016748A KR 20170016748 A KR20170016748 A KR 20170016748A KR 1020150110234 A KR1020150110234 A KR 1020150110234A KR 20150110234 A KR20150110234 A KR 20150110234A KR 20170016748 A KR20170016748 A KR 20170016748A
Authority
KR
South Korea
Prior art keywords
source material
providing
film
layer
substrate
Prior art date
Application number
KR1020150110234A
Other languages
English (en)
Other versions
KR102358566B1 (ko
Inventor
문선민
김윤수
김현준
오세훈
이용재
이진선
임한진
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020150110234A priority Critical patent/KR102358566B1/ko
Priority to US15/227,089 priority patent/US10103026B2/en
Publication of KR20170016748A publication Critical patent/KR20170016748A/ko
Priority to US15/482,005 priority patent/US10468256B2/en
Priority to US15/685,619 priority patent/US20170350012A1/en
Priority to US17/153,281 priority patent/US20210140048A1/en
Application granted granted Critical
Publication of KR102358566B1 publication Critical patent/KR102358566B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L51/0085
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/056Making the transistor the transistor being a FinFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01077Iridium [Ir]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)

Abstract

본 발명은 물질막 형성 방법에 관한 것으로서, 반응 챔버 내에 기판을 제공하는 단계; 상기 기판 상에 리간드를 갖는 금속 또는 준금속의 전구체인 소스 물질을 제공하는 단계; 상기 기판 상에 에테르계 개질제(modifier)를 제공하는 단계; 상기 반응 챔버의 내부를 퍼지(purge)하는 단계; 및 상기 소스 물질과 반응하여 물질막을 형성할 수 있는 반응 물질을 제공하는 단계를 포함하는 물질막 형성 방법을 제공한다. 본 발명의 물질막 형성 방법을 이용하면 다른 공정 변수의 변화에도 불구하고 우수한 스텝 커버리지를 갖는 물질막을 안정적으로 제조할 수 있다.

Description

물질막 형성 방법 {Method of forming a material layer}
본 발명은 물질막 형성 방법에 관한 것으로서, 보다 구체적으로는 다른 공정 변수의 변화에도 불구하고 우수한 스텝 커버리지를 갖는 물질막을 안정적으로 제조할 수 있는 물질막 형성 방법에 관한 것이다.
반도체 장치가 소형화됨에 따라 박막을 콘포말(conformal)하게 형성하는 것이 점점 더 도전적인 과제가 되고 있다. 특히, 소형화에 따라 반도체 장치 내의 구조물들의 종횡비(aspect ratio)가 크게 증가하고, 그로 인해 박막의 스텝 커버리지를 높게 유지하기 점점 더 어려워지고 있으며 공정 조건도 더욱 까다로워지고 있다. 따라서 보다 완화된 조건에서도 우수한 스텝 커버리지로 박막을 형성할 수 있는 제조 방법에 대한 요구가 높다.
본 발명이 이루고자 하는 기술적 과제는 다른 공정 변수의 변화에도 불구하고 우수한 스텝 커버리지를 갖는 물질막을 안정적으로 제조할 수 있는 물질막 형성 방법을 제공하는 것이다.
본 발명이 이루고자 하는 기술적 과제는 다른 공정 변수의 변화에도 불구하고 우수한 스텝 커버리지를 갖는 유전막을 포함하는 반도체 소자를 안정적으로 제조할 수 있는 반도체 소자의 제조 방법을 제공하는 것이다.
본 발명은 상기 기술적 과제를 이루기 위하여 반응 챔버 내에 기판을 제공하는 단계; 상기 기판 상에 리간드를 갖는 금속 또는 준금속의 전구체인 소스 물질을 제공하는 단계; 상기 기판 상에 에테르계 개질제(modifier)를 제공하는 단계; 상기 반응 챔버의 내부를 퍼지(purge)하는 단계; 및 상기 소스 물질과 반응하여 물질막을 형성할 수 있는 반응 물질을 제공하는 단계를 포함하는 물질막 형성 방법을 제공한다.
이 때, 상기 소스 물질을 제공하는 단계는 상기 소스 물질의 층을 형성하기 위하여 상기 소스 물질을 제공하는 단계를 포함하고, 상기 에테르계 개질제를 제공하는 단계는 상기 소스 물질을 제공하는 단계 이전, 이후, 또는 이전 및 이후에 수행될 수 있다. 또, 상기 물질막 형성 방법은 상기 소스 물질을 제공하는 단계와 상기 에테르계 개질제를 제공하는 단계 사이에 상기 반응 챔버의 내부를 퍼지하는 단계를 더 포함할 수 있다.
또, 상기 소스 물질을 제공하는 단계와 상기 에테르계 개질제를 제공하는 단계는 서로 시간적으로 적어도 부분적으로 중첩되도록 수행될 수 있다.
또, 상기 소스 물질을 제공하는 단계, 상기 에테르계 개질제를 제공하는 단계, 상기 반응 챔버의 내부를 퍼지하는 단계, 및 상기 반응 물질을 제공하는 단계는 원하는 두께의 물질막이 형성될 때까지 2회 이상 반복될 수 있다.
상기 반응 물질은 산화제 또는 질화제일 수 있다. 상기 산화제는 O3, H2O, O2, NO2, NO, N2O, H2O, 알콜(alcohol), 금속 알콕사이드(alkoxide), 플라즈마 O2, 리모트 플라즈마 O2, 플라즈마 N2O, 플라즈마 H2O, 또는 이들의 조합일 수 있다. 상기 질화제는 N2, NH3, 히드라진(N2H4), 플라스마 N2, 리모트 플라즈마 N2, 또는 이들의 조합일 수 있다.
또, 상기 반응 물질을 제공하는 단계는 상기 물질막을 하나 이하의 모노레이어로 형성하기 위하여 상기 반응 물질을 제공하는 단계를 포함하고, 상기 에테르계 개질제를 제공하는 단계와 상기 반응 물질을 제공하는 단계는 시간적으로 적어도 부분적으로 중첩되도록 수행될 수 있다.
또, 상기 소스 물질은 서로 상이한 제 1 소스 물질 및 제 2 소스 물질을 포함할 수 있다.
상기 에테르계 개질제는 R-O-R'로 표시될 수 있으며, 상기 R과 R'는 각각 독립적으로 C1 내지 C10의 알킬, C1 내지 C10의 알케닐, C6 내지 C12의 아릴, C6 내지 C12의 아릴알킬, C6 내지 C12의 알킬아릴, C3 내지 C12의 사이클로알킬, C3 내지 C12의 사이클로알케닐, C3 내지 C12의 사이클로알키닐, 및 고리 중에 N, O, 또는 N 및 O를 포함하는 C3 내지 C12의 헤테로사이클로알킬로 구성되는 군으로부터 선택될 수 있다.
또, 상기 전구체의 금속 또는 준금속은 지르코늄(Zr), 리튬(Li), 베릴륨(Be), 붕소(B), 나트륨(Na), 마그네슘(Mg), 알루미늄(Al), 칼륨(K), 칼슘(Ca), 스칸듐(Sc), 티타늄(Ti), 바나듐(V), 크롬(Cr), 망간(Mn), 철(Fe), 코발트(Co), 니켈(Ni), 구리(Cu), 아연(Zn), 갈륨(Ga), 저마늄(Ge), 루비듐(Rb), 스트론튬(Sr), 이트륨(Y), 니오븀(Nb), 몰리브덴(Mo), 테크네튬(Tc), 루테늄(Ru), 로듐(Rh), 팔라듐(Pd), 은(Ag), 카드뮴(Cd), 인듐(In), 주석(Sn), 안티몬(Sb), 세슘(Cs), 바륨(Ba), 란타늄(La), 세륨(Ce), 프라세오디뮴(Pr), 네그디뮴(Nd), 프로메튬(Pm), 사마륨(Sm). 유로븀(Eu), 가돌리늄(Gd), 테르비움(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르비움(Er), 톨륨(Tm), 이테르븀(Yb), 루테튬(Lu), 하프늄(Hf), 탄탈륨(Ta), 텅스텐(W), 레늄(Re), 오스뮴(Os), 이리듐(Ir), 백금(Pt), 금(Au), 수은(Hg), 납(Pb), 비스무트(Bi), 폴로늄(Po), 레이브리움(Rr), 라듐(Ra), 악티늄(Ac), 및 실리콘(Si)으로 구성되는 군으로부터 선택되는 1종 이상일 수 있다.
본 발명은 상기 기술적 과제를 이루기 위하여 반도체 기판의 활성 영역과 전기적으로 연결된 하부 전극을 형성하는 단계; 상기 하부 전극의 노출된 전체 표면에 대하여 유전막을 형성하는 단계; 및 상기 유전막 위에 상부 전극을 형성하는 단계를 포함하는 반도체 소자의 제조 방법을 제공한다. 특히, 상기 상기 유전막을 형성하는 단계는, 소스 물질을 공급하는 단계; 에테르계 개질제(modifier)를 공급하는 단계; 및 반응 물질을 공급하는 단계를 포함할 수 있다.
본 발명의 물질막 형성 방법을 이용하면 다른 공정 변수의 변화에도 불구하고 우수한 스텝 커버리지를 갖는 물질막을 안정적으로 제조할 수 있다.
도 1은 본 발명의 일실시예에 따른 물질막의 형성 방법을 나타낸 흐름도이다.
도 2는 상기 기판 위에 물질막이 형성되는 단계의 일부 실시예를 보다 구체적으로 나타낸 흐름도이다.
도 3은 본 발명의 기술적 사상의 일 실시예에 따른 물질막 형성 방법을 수행하기 위한 반도체 장비를 개념적으로 나타낸 도면이다.
도 4a 내지 도 4g는 도 2에서 개질제, 소스 물질, 반응 물질을 공급하는 방법 및 순서를 나타낸 타이밍 다이어그램들이다.
도 5는 상기 기판 위에 물질막이 형성되는 단계의 일부 실시예를 보다 구체적으로 나타낸 흐름도이다.
도 6a 내지 도 6d는 도 5에서 개질제, 소스 물질, 반응 물질을 공급하는 방법 및 순서를 나타낸 타이밍 다이어그램들이다.
도 7은 퍼지 시간 변화에 따른 물질막의 성장 속도를 나타낸 그래프이다.
도 8은 개질제 공급 시간 변화에 따른 물질막의 성장 속도를 나타낸 그래프이다.
도 9a 내지 도 9j는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 10a 내지 도 10c는 본 발명의 기술적 사상에 의한 다른 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위한 도면들로서, 도 10a는 형성하고자 하는 집적회로 소자의 평면도이고, 도 10b는 도 10a의 집적회로 소자의 사시도이고, 도 10c는 도 10a의 X - X' 선 단면 및 Y - Y' 선 단면 구성을 보여주는 단면도이다.
도 11은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 제조방법에 따라 형성된 반도체 소자의 또 다른 예를 나타낸 단면도이다.
도 12는 본 발명의 기술적 사상에 의한 실시예들에 따른 디스플레이 구동 집적회로 (display driver IC: DDI)를 구비하는 디스플레이 장치의 개략적인 블록 다이어그램이다.
도 13은 본 발명의 기술적 사상에 의한 실시예들에 따른 전자 시스템을 도시한 블록 다이어그램이다.
도 14는 본 발명의 기술적 사상에 의한 실시예들에 따른 전자 시스템의 블록 다이어그램이다.
이하, 첨부도면을 참조하여 본 발명 개념의 바람직한 실시예들을 상세히 설명하기로 한다. 그러나, 본 발명 개념의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명 개념의 범위가 아래에서 상술하는 실시예들로 인해 한정되어지는 것으로 해석되어져서는 안 된다. 본 발명 개념의 실시예들은 당 업계에서 평균적인 지식을 가진 자에게 본 발명 개념을 보다 완전하게 설명하기 위해서 제공되어지는 것으로 해석되는 것이 바람직하다. 동일한 부호는 시종 동일한 요소를 의미한다. 나아가, 도면에서의 다양한 요소와 영역은 개략적으로 그려진 것이다. 따라서, 본 발명 개념은 첨부한 도면에 그려진 상대적인 크기나 간격에 의해 제한되어지지 않는다.
제1, 제2 등의 용어는 다양한 구성 요소들을 설명하는 데 사용될 수 있지만, 상기 구성 요소들은 상기 용어들에 의해 한정되지 않는다. 상기 용어들은 하나의 구성 요소를 다른 구성 요소로부터 구별하는 목적으로만 사용된다. 예를 들어, 본 발명 개념의 권리 범위를 벗어나지 않으면서 제 1 구성 요소는 제 2 구성 요소로 명명될 수 있고, 반대로 제 2 구성 요소는 제 1 구성 요소로 명명될 수 있다.
본 출원에서 사용한 용어는 단지 특정한 실시예들을 설명하기 위해 사용된 것으로서, 본 발명 개념을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함한다" 또는 "갖는다" 등의 표현은 명세서에 기재된 특징, 개수, 단계, 동작, 구성 요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 개수, 동작, 구성 요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
달리 정의되지 않는 한, 여기에 사용되는 모든 용어들은 기술 용어와 과학 용어를 포함하여 본 발명 개념이 속하는 기술 분야에서 통상의 지식을 가진 자가 공통적으로 이해하고 있는 바와 동일한 의미를 지닌다. 또한, 통상적으로 사용되는, 사전에 정의된 바와 같은 용어들은 관련되는 기술의 맥락에서 이들이 의미하는 바와 일관되는 의미를 갖는 것으로 해석되어야 하며, 여기에 명시적으로 정의하지 않는 한 과도하게 형식적인 의미로 해석되어서는 아니 될 것임은 이해될 것이다.
어떤 실시예가 달리 구현 가능한 경우에 특정한 공정 순서는 설명되는 순서와 다르게 수행될 수도 있다. 예를 들어, 연속하여 설명되는 두 공정이 실질적으로 동시에 수행될 수도 있고, 설명되는 순서와 반대의 순서로 수행될 수도 있다.
첨부 도면에 있어서, 예를 들면, 제조 기술 및/또는 공차에 따라, 도시된 형상의 변형들이 예상될 수 있다. 따라서, 본 발명의 실시예들은 본 명세서에 도시된 영역의 특정 형상에 제한된 것으로 해석되어서는 아니 되며, 예를 들면 제조 과정에서 초래되는 형상의 변화를 포함하여야 한다. 여기에 사용되는 모든 용어 "및/또는"은 언급된 구성 요소들의 각각 및 하나 이상의 모든 조합을 포함한다. 또한, 본 명세서에서 사용되는 용어 "기판"은 기판 그 자체, 또는 기판과 그 표면에 형성된 소정의 층 또는 막 등을 포함하는 적층 구조체를 의미할 수 있다. 또한, 본 명세서에서 "기판의 표면"이라 함은 기판 그 자체의 노출 표면, 또는 기판 위에 형성된 소정의 층 또는 막 등의 외측 표면을 의미할 수 있다.
도 1은 본 발명의 일실시예에 따른 물질막의 형성 방법을 나타낸 흐름도이다.
도 1을 참조하면, 반응 챔버 내에 기판이 제공된다(S1). 상기 기판은 Si (silicon), Ge (germanium)과 같은 반도체 원소, 또는 SiC (silicon carbide), GaAs (gallium arsenide), InAs (indium arsenide), 및 InP (indium phosphide)와 같은 화합물 반도체로 이루어지는 반도체 기판을 포함할 수 있다. 일부 실시예들에 있어서, 상기 기판은 반도체 기판과, 상기 반도체 기판 위에 형성된 적어도 하나의 절연막, 및/또는 적어도 하나의 도전 영역을 포함하는 구조물들을 포함할 수 있다. 상기 도전 영역은, 예를 들면 불순물이 도핑된 웰 (well), 불순물이 도핑된 구조물, 금속 함유 도전층 등으로 이루어질 수 있다. 또한, 상기 기판은 STI (shallow trench isolation) 구조와 같은 다양한 소자분리 구조를 가질 수 있다.
상기 반응 챔버 내에 제공된 상기 기판 위에 물질막이 형성될 수 있다(S2). 상기 물질막은 금속의 산화물, 금속의 질화물, 준금속의 산화물, 및/또는 준금속의 질화물로 이루어질 수 있다.
보다 구체적으로, 상기 금속 또는 준금속은, 예를 들면, 지르코늄(Zr), 리튬(Li), 베릴륨(Be), 붕소(B), 나트륨(Na), 마그네슘(Mg), 알루미늄(Al), 칼륨(K), 칼슘(Ca), 스칸듐(Sc), 티타늄(Ti), 바나듐(V), 크롬(Cr), 망간(Mn), 철(Fe), 코발트(Co), 니켈(Ni), 구리(Cu), 아연(Zn), 갈륨(Ga), 저마늄(Ge), 루비듐(Rb), 스트론튬(Sr), 이트륨(Y), 니오븀(Nb), 몰리브덴(Mo), 테크네튬(Tc), 루테늄(Ru), 로듐(Rh), 팔라듐(Pd), 은(Ag), 카드뮴(Cd), 인듐(In), 주석(Sn), 안티몬(Sb), 세슘(Cs), 바륨(Ba), 란타늄(La), 세륨(Ce), 프라세오디뮴(Pr), 네그디뮴(Nd), 프로메튬(Pm), 사마륨(Sm). 유로븀(Eu), 가돌리늄(Gd), 테르비움(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르비움(Er), 톨륨(Tm), 이테르븀(Yb), 루테튬(Lu), 하프늄(Hf), 탄탈륨(Ta), 텅스텐(W), 레늄(Re), 오스뮴(Os), 이리듐(Ir), 백금(Pt), 금(Au), 수은(Hg), 납(Pb), 비스무트(Bi), 폴로늄(Po), 레이브리움(Rr), 라듐(Ra), 악티늄(Ac), 및 실리콘(Si)으로 구성되는 군으로부터 선택되는 1종 이상일 수 있다.
특히, 상기 물질막은 Zr 산화막, Al 산화막, Hf 산화막, La 산화막, Si 산화막, Ta 산화막, Nb 산화막, Zr 질화막, Al 질화막, Hf 질화막, La 질화막, Si 질화막, Ta 질화막, Nb 질화막, 또는 이들의 조합일 수 있다. 또는, 상기 물질막은 Zr, Al, Hf, La, Si, Ta, 및 Nb 중에서 선택되는 적어도 2 종의 중심 원자를 포함하는 복합 산화물 박막 또는 복합 질화물 박막일 수 있다.
본 발명의 기술적 사상에 의한 물질막 형성 방법에 따라 제조된 물질막은 다양한 용도로 사용될 수 있다. 예를 들면, 본 발명의 기술적 사상에 의한 물질막 형성 방법에 따라 제조된 물질막은 반도체 메모리 소자의 커패시터의 일부를 구성하는 유전막, 트랜지스터의 게이트 유전막, 배선에 사용되는 도전성 배리어막, 저항막, 자성막, 액정용 배리어 금속막, 박막 태양전지용 부재, 반도체 설비용 부재, 나노 구조체, 수소 저장 합금, 및 MEMS (Micro Electro Mechanical Systems) 액추에이터(actuator) 등에 사용될 수 있으나, 상기 예시된 바에 한정되는 것은 아니다.
이어서 상기 물질막이 원하는 두께로 형성되었는지의 여부를 판단한다(S3). 만일 상기 물질막이 원하는 두께보다 얇게 형성되었다면 상기 물질막을 형성하는 단계(S2)를 다시 반복하고, 만일 상기 물질막이 원하는 두께 이상으로 형성되었다면 추가적인 물질막 형성을 중단할 수 있다.
도 2는 상기 기판 위에 물질막이 형성되는 단계(S2)의 일 실시예를 보다 구체적으로 나타낸 흐름도이다.
도 2를 참조하면, 기판 상에 소스 물질과 개질제(modifier)를 제공할 수 있다(S21a).
상기 소스 물질은 퇴적시키고자 하는 물질막의 전구체 물질일 수 있다. 상기 소스 물질은 MLn으로 나타내어질 수 있는 임의의 물질일 수 있다. 여기서, M은 상기 소스 물질의 중심 원자이고, L은 상기 소스 물질의 중심 원자인 M에 결합된 리간드이다. 또한, n은 상기 중심 원자 M과 리간드 L에 의하여 결정되는 수로서, 예를 들면 2 내지 6의 정수일 수 있다. 상기 중심 원자 M은 금속 또는 준금속(semimetal)으로서 위에서 설명한 바와 있으므로 여기서는 추가적인 설명을 생략한다.
본 발명의 기술적 사상에 의한 박막 형성 방법에서 상기 소스 물질로서 사용 가능한 화합물들에 대한 보다 상세한 사항과, 상기 소스 물질에 리간드로서 포함될 수 있는 유기 배위 화합물들(organic coordination compounds)에 대한 보다 상세한 사항에 대하여는 후술한다.
상기 개질제는 에테르계 물질로서 R-O-R'로 표시될 수 있는 물질일 수 있다. 여기서, R과 R'는 각각 독립적으로 C1 내지 C10의 알킬, C1 내지 C10의 알케닐, C6 내지 C12의 아릴, C6 내지 C12의 아릴알킬, C6 내지 C12의 알킬아릴, C3 내지 C12의 사이클로알킬, C3 내지 C12의 사이클로알케닐, C3 내지 C12의 사이클로알키닐, 및 고리 중에 N, O, 또는 N 및 O를 포함하는 C3 내지 C12의 헤테로사이클로알킬로 구성되는 군으로부터 선택될 수 있다. 선택적으로, R과 R'는 서로 연결되어 고리 형태를 이룰 수 있다.
상기 반응 챔버 내의 기판 상에 소스 물질과 개질제를 공급하면, 기판 위에는 소스 물질의 층이 형성될 수 있으며, 상기 소스 물질이 물리흡착(physisorption)에 의하여 2층 이상 과잉으로 흡착된 부분이 국부적으로 있을 수 있다.
이어서 기판 상에 소스 물질과 개질제를 제공한 후 상기 반응 챔버를 퍼지 가스에 의하여 퍼지(purge)할 수 있다(S22a). 상기 퍼지에 의하여 상기 기판 위에 과량으로 흡착된 소스 물질과 개질제가 제거될 수 있으며, 기판 상에 소스 물질의 층이 균일하게 하나의 모노레이어를 형성할 수 있다.
상기 퍼지 가스는 예를 들면 Ar, He, Ne과 같은 비활성 기체 및/또는 질소(N2)와 같이 활성이 낮은 기체가 이용될 수 있다.
그 후 상기 반응 챔버 내에 반응 물질을 제공한다(S23a). 상기 반응 물질은 상기 소스 물질과 반응하여 물질막을 형성할 수 있는 물질일 수 있으며, 예를 들면, 산화제 또는 질화제일 수 있다.
상기 산화제는, 예를 들면, O3, H2O, O2, NO2, NO, N2O, H2O, 알콜(alcohol), 금속 알콕사이드(alkoxide), 플라즈마 O2, 리모트 플라즈마 O2, 플라즈마 N2O, 플라즈마 H2O, 또는 이들의 조합일 수 있다. 상기 질화제는, 예를 들면, N2, NH3, 히드라진(N2H4), 플라스마 N2, 리모트 플라즈마 N2, 또는 이들의 조합일 수 있다.
상기 물질막은 원자층 증착(atomic layer deposition, ALD) 또는 화학 기상 증착(chemical vapor deposition, CVD) 공정을 수행할 수 있는 반도체 장비 내에서 성장될 수 있다. 상기 반도체 장비는 상기 반응 챔버를 포함할 수 있다. 상기 반응 챔버는 기판이 로딩되어 ALD 또는 CVD 공정을 수행할 수 있는 챔버일 수 있다.
도 3은 본 발명의 기술적 사상의 일 실시예에 따른 물질막 형성 방법을 수행하기 위한 반도체 장비를 개념적으로 나타낸 도면이다.
도 3을 참조하면, 반도체 장비(1)는 반응 챔버(10) 내에 상기 개질제(14), 상기 소스 물질(16), 상기 퍼지 가스(19), 및 상기 반응 물질(18)을 독립적으로 공급할 수 있는 공정 물질 공급 시스템(20a)을 포함할 수 있다. 상기 공정 물질 공급 시스템(20a)은 상기 개질제(14), 상기 소스 물질(16), 상기 퍼지 가스(19), 및 상기 반응 물질(18)을 서로 독립적으로 그리고 서로 다른 시간대에 상기 반응 챔버(10) 내부로 공급하도록 구성될 수 있다. 또는 상기 공정 물질 공급 시스템(20a)은 상기 개질제(14), 상기 소스 물질(16), 상기 퍼지 가스(19), 및 상기 반응 물질(18) 중 둘 이상을 동시에 상기 반응 챔버(10) 내부로 공급하도록 구성될 수 있다. 상기 반응 챔버(10)는 기판(100)이 로딩 및 언로딩될 수 있는 챔버일 수 있다.
상기 공정 물질 공급 시스템(20a)은 소스 물질 공급 장치(30a), 개질제 공급 장치(60a), 퍼지 가스 공급 장치(90a), 및 반응 물질 공급 장치(80a)를 포함할 수 있다. 상기 소스 물질 공급 장치(30a)는 상기 소스 물질(16)을 상기 반응 챔버(10) 내에 공급하기 위한 장치일 수 있다.
상기 소스 물질 공급 장치(30a)는 소스 물질 저장 용기(40) 및 기화기(50)를 포함할 수 있다. 상기 소스 물질 저장 용기(40) 및 상기 기화기(50)는 배관(42)에 의하여 연결될 수 있고, 상기 배관(42)에 유량 제어 장치(44)가 구비될 수 있다. 상기 기화기(50)와 상기 공정 챔버(10)는 배관(52)에 의하여 연결될 수 있고, 상기 배관(52)에 유량 제어 장치(54)가 구비될 수 있다.
상기 소스 물질 저장 용기(40) 내의 상기 소스 물질(16)은 상기 기화기(50)로 이동되어 상기 기화기(50)에서 기화될 수 있다. 또한, 상기 기화기(50)에서 기화된 상기 소스 물질은 상기 반응 챔버(10)로 공급될 수 있다.
상기 개질제 공급 장치(60a)는 상기 개질제(14)를 상기 반응 챔버(10) 내로 공급하기 위한 장치일 수 있다. 상기 개질제 공급 장치(60a) 내에 상기 개질제(14)가 저장될 수 있고, 상기 개질제(14)는 상기 개질제 공급 장치(60a)로부터 상기 반응 챔버(10) 내부로 배관(62)을 통하여 공급될 수 있다.
상기 개질제 공급 장치(60a)와 상기 반응 챔버(10)는 상기 배관(62)에 의하여 연결될 수 있고, 상기 배관(62)에는 상기 개질제(14)의 유량을 제어할 수 있는 유량 제어 장치(64)가 제공될 수 있다.
상기 반응 물질 공급 장치(80a)는 상기 반응 물질(18)을 상기 반응 챔버(10) 내로 공급하기 위한 장치일 수 있다. 상기 반응 물질 공급 장치(80a) 내에 상기 반응 물질(18)이 저장될 수 있고, 상기 반응 물질(18)은 상기 반응 물질 공급 장치(80a)로부터 상기 반응 챔버(10) 내부로 배관(82)을 통하여 공급될 수 있다.
상기 반응 물질 공급 장치(80a)와 상기 반응 챔버(10)는 상기 배관(82)에 의하여 연결될 수 있고, 상기 배관(82)에는 상기 반응 물질(18)의 유량을 제어할 수 있는 유량 제어 장치(84)가 제공될 수 있다.
상기 퍼지 가스 공급 장치(90a)는 상기 퍼지 가스(19)를 상기 반응 챔버(10) 내로 공급하기 위한 장치일 수 있다. 상기 퍼지 가스 공급 장치(90a) 내에 상기 퍼지 가스(19)가 저장될 수 있고, 상기 퍼지 가스(19)는 상기 퍼지 가스 공급 장치(90a)로부터 상기 반응 챔버(10) 내부로 배관(92)을 통하여 공급될 수 있다.
상기 퍼지 가스 공급 장치(90a)와 상기 반응 챔버(10)는 상기 배관(92)에 의하여 연결될 수 있고, 상기 배관(92)에는 상기 퍼지 가스(19)의 유량을 제어할 수 있는 유량 제어 장치(94)가 제공될 수 있다.
상기 배관들(42, 52, 62, 82, 92)은 유체가 유동할 수 있는 배관들일 수 있고, 상기 유량 제어 장치들(44, 54, 64, 84, 94)은 유체의 흐름을 제어할 수 있는 밸브 시스템을 포함할 수 있다.
상기 공정 물질 공급 시스템(20a)은 상기 개질제(14), 상기 소스 물질(16), 상기 퍼지 가스(19), 및 상기 반응 물질(18)을 독립적으로 상기 반응 챔버(10) 내에 공급할 수 있는 시스템일 수 있다. 상기 공정 물질 공급 시스템(20a)은 상기 개질제(14), 상기 소스 물질(16), 상기 퍼지 가스(19), 및 상기 반응 물질(18)을 서로 다른 시간대에 또는 동시에 상기 반응 챔버(10) 내로 공급하도록 구성될 수 있다.
상기 소스 물질은 위에서 설명한 바와 같이 MLn으로 표시되는 물질일 수도 있으며, M(La)n(Lb)m으로 나타내어질 수 있는 물질일 수도 있다. 여기서, La는 상기 중심 원자 M과 결합하는 제 1 리간드이고, Lb는 상기 중심 원자 M과 결합하고 상기 제 1 리간드와 상이한 제 2 리간드이다. 여기서 n은 상기 중심 원자 M과 리간드 La에 의하여 결정되는 수일 수 있고, m은 상기 중심 원자 M과 리간드 Lb에 의하여 결정되는 수일 수 있다.
상기 중심 원자 M이 지르코늄(Zr)인 경우, 상기 소스 물질은, 예를 들면, (시클로펜타디에닐)트리스(디메틸아미노)지르코늄 ((cyclopentadienyl)tris(dimethylamino)zirconium: CpZr(NMe2)3), 테트라키스-에틸메틸아미도-지르코늄 (tetrakis-ethylmethylamido-zirconium, TEMAZ), 테트라키스-디에틸아미도-지르코늄 (tetrakis-diethylamido-zirconium, TDEAZ), 테트라키스-디메틸아미도-지르코늄 (tetrakis-dimethylamido-zirconium, TDMAZ), 테트라키스-에틸디메틸아미도-지르코늄 (tetrakis-ethyldimethylamido-zirconium), 테트라키스-디에틸메틸아미도-지르코늄 (tetrakis-diethylmethylamido-zirconium), 테트라키스-트리에틸아미도-지르코늄 (tetrakis-triethylamido-zirconium), 테트라키스-트리에틸아미도-지르코늄 (tetrakis-triethylamido-zirconium), 비스-디이소프로필아미도-비스-디메틸아미도-지르코늄 (bis-diisopropylamido-bis-dimethylamido-zirconium), 비스-디-t-부틸아미도-비스-디메틸아미도-지르코늄 (bis-di-t-butylamido-bis-dimethylamido-zirconium), 비스-에틸메틸아미도-비스-디이소프로필아미도-지르코늄 (bis-ethylmethylamido-bis-diisopropylamido-zirconium), 비스-디에틸아미도-비스-디이소프로필아미도-지르코늄 (bis-diethylamido-bis-diisopropylamido-zirconium), 트리스-디이소프로필아미도-디메틸아미도-지르코늄 (tris-diisopropylamido-dimethylamido-zirconium), 지르코늄 터셔리 부톡사이드 (Zr(OtBu)4, zirconium t-butoxide, ZTB), 테트라키스(1-메톡시-2-메틸-2-프로폭시)지르코늄(tetrakis(1-methoxy-2-methyl-2-propoxy) hafnium, Zr(mmp)4), 테트라키스(1-메톡시-2-메틸-2-프로폭시)지르코늄(tetrakis(1-methoxy-2-methyl-2-propoxy) zirconium, Zr(mmp)4), 지르코늄 테트라클로라이드(ZrCl4), ZrCp2Me2, Zr(tBuCp)2Me2, Zr(N(iProp)2)4 및 트리스-디에틸아미도-디이소프로필아미도-지르코늄 (tris-diethylamido-diisopropylamido-zirconium) 중에서 선택되는 적어도 하나일 수 있으나, 이들에 한정되는 것은 아니다.
상기 중심 원자 M이 알루미늄(Al)인 경우, 상기 소스 물질은, 예를 들면, 트리메틸알루미늄(trimethyl aluminum, TMA), 트리에틸알루미늄(triethyl aluminum, TEA), 메틸피롤리딘알란(1-methylpyrrolidine alane, MPA), 디메틸에틸아민알란(dimethylethylamine alane, DMEAA), 디메틸알루미늄하이드라이드(dimethyl aluminum hydride, DMAH), 및 트리메틸아민알란보레인(trimethylaminealane borane, TMAAB) 중에서 선택되는 적어도 하나일 수 있으나, 이들에 한정되는 것은 아니다.
상기 중심 원자 M이 티타늄(Ti)인 경우, 상기 소스 물질은, 예를 들면, 티타늄 테트라키스(이소프로폭사이드)(Ti(O-iProp)4), 티타늄 핼라이드, 사이클로펜타디에닐 티타늄, 티타늄 비스(이소프로폭사이드)비스(2,2,6,6-테트라메틸-3,5-헵탄디오네이트)(Ti(O-iProp)2(thd)2), 티타늄 비스(4-(2-메틸에톡시)이미노-2-펜타노네이트)(Ti(2meip)2), 티타늄 비스[4-(에톡시)이미노-2-펜타노에이트](Ti(eip)2), 및 티타늄 비스[2,2-디메틸-5-(2-메틸에톡시)이미노-3-헵타노에이트](Ti(22dm2meih)2) 중에서 선택되는 적어도 하나일 수 있으나, 이들에 한정되는 것은 아니다.
상기 중심 원자 M이 하프늄(Hf)인 경우, 상기 소스 물질은, 예를 들면, 하프늄 터셔리 부톡사이드 (Hf(OtBu)4, hafnium t-butoxide, HTB), 테트라키스(디에틸아미도)하프늄 (Hf(NEt2)4, tetrakis(diethylamido)hafnium, TDEAH), 테트라키스(에틸메틸아미도)하프늄 (Hf(NEtMe)4, tetrakis(ethylmethylamido)hafnium, TEMAH), 및 테트라키스(디메틸아미도)하프늄 (Hf(NMe2)4, tetrakis(dimethylamido)hafnium, TDMAH) 중에서 선택되는 적어도 하나일 수 있으나, 이들에 한정되는 것은 아니다.
위에서 설명한 바와 같이 상기 개질제는 R-O-R'로 표시될 수 있는 물질일 수 있으며, 예를 들면, 디메틸에테르, 디에틸에테르, 메틸에틸에테르, 프로필에테르, 메틸프로필에테르, 이소프로필에테르, 메틸이소프로필에테르, 디클로로에틸 에테르, 디-n-부틸 에테르, 디이소아밀 에테르, 메틸페닐 에테르, 디-n-프로필에테르, 디이소프로필에테르, 디-sec-부틸에테르, 디페닐에테르, 에틸부틸에테르, 부틸비닐에테르, 아니솔, 에틸페닐에테르, 에틸렌글리콜디메틸에테르, 에틸렌글리콜디에틸에테르, 에틸렌글리콜디부틸에테르, 디에틸렌글리콜디메틸에테르, 디에틸렌글리콜디에틸에테르, 퓨란, 테트라하이드로퓨란, α-메톡시테트라히드로퓨란, 피란, 테트라히드로피란, 디옥산, 에틸렌글리콜 모노메틸에테르, 및 에틸렌글리콜 모노에틸에테르로 구성되는 군으로부터 선택되는 1종 이상일 수 있으나, 여기에 한정되는 것은 아니다.
본 발명의 기술적 사상에 의한 물질막 형성 방법에서 사용될 수 있는 소스 물질의 종류는 상기 예시한 바에 한정되는 것은 아니다. 예를 들면, 도 2의 단계 S21a에서 사용 가능한 소스 물질(16)은 알콜 (alcohol) 화합물, 글리콜 (glycol) 화합물, β-디케톤 (β-diketone) 화합물, 시클로펜타디엔 (cyclopentadiene) 화합물, 및 유기 아민 (organic amine) 화합물 중에서 선택되는 적어도 하나의 유기 배위 화합물과, 금속 및 준금속 중에서 선택되는 어느 하나와의 화합물을 포함할 수 있다.
도 2의 단계 S21a에서 사용되는 소스 물질(16)의 유기 배위 화합물로서 사용 가능한 유기 아민 화합물의 예로서, 메틸아민 (methylamine), 에틸아민 (ethylamine), 프로필아민 (propylamine), 이소프로필아민 (isopropylamine), 디메틸아민 (dimethylamine), 디에틸아민 (diethylamine), 디프로필아민 (dipropylamine), 디이소프로필아민 (diisopropylamine), 에틸메틸아민 (ehtylmethylamine), 프로필메틸아민 (propylmethylamine), 이소프로필메틸아민 (isopropylmethylamine) 등을 들 수 있으나, 이에 한정되는 것은 아니다.
도 2의 단계 S21a에서 사용되는 소스 물질(16)의 유기 배위 화합물로서 사용 가능한 알콜 화합물의 예로서, 메탄올, 에탄올, 프로판올, 이소프로필 알콜, 부탄올, 제2 부틸 알콜, 이소부틸 알콜, 제3 부틸 알콜, 펜틸 알콜, 이소펜틸 알콜, 제3 펜틸 알콜 등과 같은 알킬 알콜류; 2-메톡시에탄올 (2-methoxyethanol), 2-에톡시에탄올 (2-ethoxyethanol), 2-부톡시에탄올 (2-butoxyethanol), 2-(2-메톡시에톡시)에탄올 (2-(2-methoxyethoxy)ethanol), 2-메톡시-1-메틸에탄올 (2-methoxy-1-methylethanol), 2-메톡시-1,1-디메틸에탄올 (2-methoxy-1,1-dimethylethanol), 2-에톡시-1,1-디메틸에탄올 (2-ethoxy-1,1-dimethylethanol), 2-프로폭시-1,1-디에틸에탄올 (2-propoxy-1,1-diethylethanol), 2-부톡시-1,1-에메틸에탄올 (2-butoxy-1,1-diethylethanol), 2-(2-메톡시에톡시)-1,1-디메틸에탄올 (2-(2-methoxyethoxy)-1,1-dimethylethanol), 2-프로폭시-1,1-디에틸에탄올 (2-propoxy-1,1-diethylethanol), 2-s-부톡시-1,1-디에틸에탄올 (2-s-butoxy-1,1-diethylethanol), 3-메톡시-1,1-디메틸프로판올 (3-methoxy-1,1-dimethylpropanol) 등과 같은 에테르 알콜류; 및 디알킬아미노알콜 (dialkylaminoalcohol)을 들 수 있으나, 이에 한정되는 것은 아니다.
도 2의 단계 S21a에서 사용되는 소스 물질(16)의 유기 배위 화합물로서 사용 가능한 글리콜 화합물의 예로서, 1,2-에탄디올 (1,2-ethanediol), 1,2-프로판디올 (1,2-propanediol), 1,3-프로판디올 (1,3-propanediol), 2,4-헥산디올 (2,4-hexanediol), 2,2-디메틸-1,3-프로판디올 (2,2-dimethyl-1,3-propanediol), 2,2-디에틸-1,3-프로판디올 (2,2-diethyl-1,3-propanediol), 1,3-부탄디올 (1,3-butanediol), 2,4-부탄디올 (2,4-butanediol), 2,2-디에틸-1,3-부탄디올 (2,2-diethyl-1,3-butanediol), 2-에틸-2-부틸-1,3-프로판디올 (2-ethyl-2-butyl-1,3-propanediol), 2,4-펜탄디올 (2,4-pentanediol), 2-메틸-1,3-프로판디올 (2-methyl-1,3-propanediol), 2-메틸-2,4-펜탄디올 (2-methyl-2,4-pentanediol), 2,4-헥산디올 (2,4-hexanediol), 및 2,4-디메틸-2,4-펜탄디올 (2,4-dimethyl-2,4-pentanediol)을 들 수 있으나, 이에 한정되는 것은 아니다.
도 2의 단계 S21a에서 사용되는 소스 물질(16)의 유기 배위 화합물로서 사용 가능한 β-디케톤 화합물의 예로서, 아세틸아세톤 (acetylacetone), 헥산-2,4-디온 (hexane-2,4-dione), 5-메틸헥산-2,4-디온 (5-methylhexane-2,4-dione), 헵탄-2,4-디온 (heptane-2,4-dione), 2-메틸헵탄-3,5-디온 (2-methylheptane-3,5-dione), 5-메틸헵탄-2,4-디온 (5-methylheptane-2,4-dione), 6-메틸헵탄-2,4-디온 (6-methylheptane-2,4-dione), 2,2-디메틸헵탄-3,5-디온 (2,2-dimethylheptane-3,5-dione), 2,6-디메틸헵탄-3,5-디온 (2,6-dimethylheptane-3,5-dione), 2,2,6-트리메틸헵탄-3,5-디온 (2,2,6-trimethylheptane-3,5-dione), 2,2,6,6-테트라메틸헵탄-3,5-디온 (2,2,6,6-tetramethylheptane-3,5-dione), 옥탄-2,4-디온 (octane-2,4-dione), 2,2,6-트리메틸옥탄-3,5-디온 (2,2,6-trimethyloctane-3,5-dione), 2,6-디메틸옥탄-3,5-디온 (2,6-dimethyloctane-3,5-dione), 2,9-디메틸노난-4,6-디온 (2,9-dimethylnonane-4,6-dione), 2-메틸-6-에틸데칸-3,5-디온 (2-methyl-6-ethyldecane-3,5-dione), 2,2-디메틸-6-에틸데칸-3,5-디온 (2,2-dimethyl-6-ethyldecane-3,5-dione) 등과 같은 알킬 치환 β-디케톤류; 1,1,1-트리플루오로펜탄-2,4-디온 (1,1,1-trifluoropentane-2,4-dione), 1,1,1-트리플루오로-5,5-디메틸헥산-2,4-디온 (1,1,1-trifluoro-5,5-dimethylhexane-2,4-dione), 1,1,1,5,5,5-헥사플루오로펜탄-2,4-디온 (1,1,1,5,5,5-hexafluoropentane-2,4-dione), 1,3-디퍼플루오로헥실프로판-1,1-디온 (1,3-diperfluorohexylpropane-1,3-dione) 등과 같은 불소 치환 알킬 β-디케톤류; 및 1,1,5,5-테트라메틸-1-메톡시헥산-2,4-디온 (1,1,5,5-tetramethyl-1-methoxyhexane-2,4-dione), 2,2,6,6-테트라메틸-1-메톡시헵탄-3,5-디온 (2,2,6,6-tetramethyl-1-methoxyheptane-3,5-dione), 2,2,6,6-테트라메틸-1-(2-메톡시에톡시)헵탄-3,5-디온 (2,2,6,6-tetramethyl-1-(2-methoxyethoxy)heptane-3,5-dione) 등과 같은 에테르 치환 β-디케톤류를 들 수 있으나, 이에 한정되는 것은 아니다.
도 2의 단계 S21a에서 사용되는 소스 물질(16)의 유기 배위 화합물로서 사용 가능한 시클로펜타디엔 화합물의 예로서, 시클로펜타디엔 (cyclopentadiene), 메틸시클로펜타디엔 (methylcyclopentadiene), 에틸시클로펜타디엔 (ethylcyclopentadiene), 프로필시클로펜타디엔 (propylcyclopentadiene), 이소프로필시클로펜타디엔 (isopropylcyclopentadiene), 부틸시클로펜타디엔 (butylcyclopentadiene), 제2 부틸시클로펜타디엔 (sec-butylcyclopentadiene), 이소부틸시클로펜타디엔 (isobutylcyclopentadiene), 제3 부틸시클로펜타디엔 (tert-butylcyclepentadiene), 디메틸시클로펜타디엔 (dimethylcyclopentadiene), 테트라메틸시클로펜타디엔 (tetramethylcyclopentadiene) 등을 들 수 있으나, 이에 한정되는 것은 아니다.
도 1 내지 도 3을 참조하여 설명한 바와 같이, 본 발명의 기술적 사상의 실시예들에 따르면 상기 개질제(14) 및 소스 물질(16)을 반응 챔버(10) 내에 공급하고, 여기에 반응 물질(18)을 공급함으로써 물질막을 성장시킬 수 있다.
도 4a 내지 도 4g는 도 2에서와 같이 개질제(14), 소스 물질(16), 반응 물질(18)을 공급하는 방법 및 순서를 나타낸 타이밍 다이어그램들이다. 도 4a 내지 도 4g에서 각 공급 물질(feed material)들은 펄스공급될 수 있다. 각 공급 물질의 공급 유량 및 공급 시간은 도 4a 내지 도 4g에 나타낸 펄스들의 높이 및 폭과 비례하지 않을 수 있다.
도 4a를 참조하면, 반응 챔버(10) 내에 개질제(14)를 공급한 후 소스 물질(16)을 공급할 수 있다.
반응 챔버(10) 내에 개질제(14)를 공급하면, 기판(100) 표면 위에 개질제(14)가 물리흡착(physisorption)에 의하여 흡착될 수 있으며, 퍼지 가스(19)로 반응 챔버(10)를 퍼지함으로써 물리흡착된 개질제(14)가 모노레이어 이하가 되도록 할 수 있다.
이어서 소스 물질(16)을 공급하면, 상기 소스 물질(16)은 기판(100)과의 화학흡착(chemisorption)이 억제된 상태에서 기판(100) 표면 상에 물리흡착될 수 있다. 상기 소스 물질(16)은 기판(100)과 직접 화학 흡착을 할 수 있지만, 기판(100) 표면의 상당 부분이 개질제(14)로 덮여 있기 때문에 상기 개질제(14)를 개재하여 상기 기판(100)에 물리흡착될 수 있다. 퍼지 가스(19)로 반응 챔버(10)를 다시 퍼지하면, 소스 물질(16)이 모노레이어 이하로 흡착된 층을 얻을 수 있다. 특히, 구조물의 입구 부분에서 소스 물질(16)이 과흡착되는 것이 상당히 억제될 수 있다.
이어서 반응 물질(18)을 공급하면, 상기 반응 물질(18)은 흡착된 소스 물질(16)과 반응하여 물질층을 형성하고, 개질제(14)는 상기 반응 물질(18)과의 반응에 의하여 제거될 수 있다. 퍼지 가스(19)로 반응 챔버(10)를 퍼지하면, 과량의 반응 물질(18) 및 반응 부산물들이 반응 챔버(10)로부터 제거될 수 있다.
도 4b를 참조하면, 반응 챔버(10) 내에 소스 물질(16)을 공급한 후 개질제(14)를 공급할 수 있다.
반응 챔버(10) 내에 소스 물질(16)을 공급하면, 기판(100) 표면 위에 소스 물질(16)이 흡착될 수 있다. 이때, 상기 소스 물질(16)과 기판(100) 사이의 흡착은 물리흡착도 존재하지만 상당한 양의 화학흡착을 수반할 수 있다. 다시 말해, 상기 소스 물질(16)과 기판(100)이 직접 접촉하는 흡착은 화학흡착이고, 소스 물질(16)을 개재하여 그 위에 추가되는 소스 물질(16)들은 물리흡착에 의하여 흡착될 수 있다.
퍼지 가스(19)로 반응 챔버(10)를 퍼지하면 2층 이상으로 물리흡착을 하고 있던 소스 물질(16)은 일부 제거되지만, 여전히 기판과 직접 화학흡착하고 있는 소스 물질(16) 위에 물리흡착된 소스 물질(16)이 잔존할 수 있다. 이와 같이 물리흡착된 소스 물질(16)은 과흡착된 것이며, 콘포말한 물질막의 형성을 위해서는 제거될 필요가 있다.
이어서 개질제(14)를 공급하면, 상기 개질제(14)는 소스 물질(16)에 반데르발스(van der Waals) 인력에 의하여 결합될 수 있다. 보다 구체적으로, 상기 개질제(14)가 에테르계 물질인 경우, 에테르의 산소가 상기 소스 물질의 중심 원자에 결합될 수 있다. 상기 결합에 의하여 상기 소스 물질(16)의 물리흡착이 해제될 수 있으며, 따라서 과흡착되었던 소스 물질(16)이 대부분 제거 가능하다. 퍼지 가스(19)로 반응 챔버(10)를 다시 퍼지하면, 과잉의 개질제(14)와 반응 부산물들이 상기 반응 챔버(10)로부터 제거되고, 소스 물질(16)이 모노레이어 이하로 화학흡착된 층을 얻을 수 있다. 상기 반응 부산물들은 상기 개질제(14)와 상기 소스 물질(16)이 결합된 것을 포함할 수 있다.
이어서 반응 물질(18)을 공급하면, 상기 반응 물질(18)은 모노레이어로 화학흡착된 소스 물질(16)과 반응하여 물질층을 형성하고, 개질제(14)는 상기 반응 물질(18)과의 반응에 의하여 제거될 수 있다. 퍼지 가스(19)로 반응 챔버(10)를 퍼지하면, 과량의 반응 물질(18) 및 반응 부산물들이 반응 챔버(10)로부터 제거될 수 있다.
도 4c를 참조하면, 반응 챔버(10) 내에 소스 물질(16)과 개질제(14)가 동시에 공급될 수 있다.
상기 소스 물질(16)은 특성상 서로 결합되어 이합체(dimer), 삼합체(trimer) 등을 형성하는 경우가 있고, 이들이 기판(100) 표면에 흡착되면 소스 물질(16)이 2층 이상으로 흡착된 결과가 되어 과흡착 문제가 발생할 수 있다. 개질제(14)를 동시에 공급하면, 소스 물질(16)이 이합체나 삼합체를 형성하는 확률을 감소시켜, 위와 같은 과흡착 문제가 완화될 수 있다.
나아가, 도 4a 및 도 4b를 참조하여 설명하였던 메커니즘들이 동적으로(dynamic) 수행됨으로써 소스 물질(16)의 과흡착이 방지될 수 있다.
퍼지 가스(19)로 반응 챔버(10)를 퍼지하면, 과잉의 소스 물질(16) 및 개질제(14)가 반응 챔버(10)로부터 제거될 수 있다. 또한, 불필요한 부산물들도 반응 챔버(10)로부터 제거될 수 있다.
이어서 반응 물질(18)을 공급하면, 상기 반응 물질(18)은 흡착된 소스 물질(16)과 반응하여 물질층을 형성하고, 반응 챔버(10) 내에 존재하던 개질제(14)는 상기 반응 물질(18)과의 반응에 의하여 제거될 수 있다. 퍼지 가스(19)로 반응 챔버(10)를 퍼지하면, 과량의 반응 물질(18) 및 반응 부산물들이 반응 챔버(10)로부터 제거될 수 있다.
도 4d를 참조하면, 반응 챔버(10) 내에 소스 물질(16)을 먼저 공급하고 개질제(14)를 나중에 공급하되, 이들의 공급 기간에 있어 일정 시간(OL) 오버랩되도록 할 수 있다.
이와 같이 구성하는 경우 상기 소스 물질(16)의 공급과 상기 개질제(14)의 공급이 중첩되지 않는 시기에 대해서는 도 4b를 참조하여 설명하였던 반응 메커니즘에 의하여 과흡착이 방지될 수 있다. 또, 상기 소스 물질(16)의 공급과 상기 개질제(14)의 공급이 중첩되는 시기에 대해서는 도 4a 및 도 4b를 참조하여 설명하였던 반응 메커니즘에 의하여 과흡착이 방지될 수 있다.
그 이외의 사항은 도 4a 내지 도 4c를 참조하여 설명한 바와 동일하므로 여기서는 구체적인 설명을 생략한다.
도 4e를 참조하면, 반응 챔버(10) 내에 소스 물질(16)이 공급되는 시기를 전후하여 모두 개질제(14)를 공급할 수 있다. 상기 소스 물질(16)보다 먼저 공급되는 개질제(14)에 의해서는 도 4a를 참조하여 설명한 바와 같은 작용에 의하여 과흡착이 방지될 수 있다. 또, 상기 소스 물질(16)보다 나중에 공급되는 개질제(14)에 의해서는 도 4b를 참조하여 설명한 바와 같은 작용에 의하여 과흡착이 방지될 수 있다.
그 이외의 사항은 도 4a 내지 도 4c를 참조하여 설명한 바와 동일하므로 여기서는 구체적인 설명을 생략한다.
도 4f를 참조하면, 서로 상이한 소스 물질인 제 1 소스 물질과 제 2 소스 물질을 사용하는 점에서 도 4a에 나타낸 실시예와 차이가 있다.
우선 반응 챔버(10) 내에 개질제(14)를 공급하고 퍼지를 수행함으로써 물리흡착된 개질제(14)의 모노레이어를 형성하고, 제 1 소스 물질과 반응 물질을 공급함으로써 제 1 물질막을 형성할 수 있다. 구체적인 반응 메커니즘은 도 4a를 참조하여 설명한 바와 동일하므로 여기서는 추가적인 설명을 생략한다.
이어서, 반응 챔버(10) 내에 다시 개질제(14)를 공급하고 퍼지를 수행함으로써 물리흡착된 개질제(14)의 모노레이어를 형성하고, 제 2 소스 물질과 반응 물질을 공급함으로써 제 2 물질막을 형성할 수 있다. 구체적인 반응 메커니즘은 도 4a를 참조하여 설명한 바와 동일하므로 여기서는 추가적인 설명을 생략한다.
도 4g를 참조하면, 서로 상이한 소스 물질인 제 1 소스 물질과 제 2 소스 물질을 사용하는 점에서 도 4b에 나타낸 실시예와 차이가 있다.
우선 반응 챔버(10) 내에 제 1 소스 물질을 공급하고 퍼지를 수행함으로써 기판 표면에 흡착된 제 1 소스 물질의 층을 형성하고, 개질제(14)를 공급함으로써 과흡착된 제 1 소스 물질을 제거할 수 있다. 이어서 반응 물질을 공급함으로써 제 1 물질막을 형성할 수 있다. 구체적인 반응 메커니즘은 도 4b를 참조하여 설명한 바와 동일하므로 여기서는 추가적인 설명을 생략한다.
이어서, 반응 챔버(10) 내에 제 2 소스 물질을 공급하고 퍼지를 수행함으로써 기판 표면에 흡착된 제 2 소스 물질의 층을 형성하고, 개질제(14)를 공급함으로써 과흡착된 제 2 소스 물질을 제거할 수 있다. 이어서 반응 물질을 공급함으로써 제 2 물질막을 형성할 수 있다. 구체적인 반응 메커니즘은 도 4b를 참조하여 설명한 바와 동일하므로 여기서는 추가적인 설명을 생략한다.
도 5는 상기 기판 위에 물질막이 형성되는 단계(S2)의 일 실시예를 보다 구체적으로 나타낸 흐름도이다.
도 5를 참조하면, 기판(100) 상에 소스 물질(16)을 제공할 수 있다(S21b). 상기 소스 물질(16)은 기판(100) 위에 흡착될 수 있으며, 상기 소스 물질(16)이 물리흡착에 의하여 2층 이상 과잉으로 흡착된 부분이 국부적으로 있을 수 있다.
이어서 상기 반응 챔버(10)를 퍼지 가스에 의하여 퍼지할 수 있다(S22b). 상기 퍼지에 의하여 상기 기판 위에 과량으로 흡착된 소스 물질이 제거될 수 있다.
그 후 상기 반응 챔버(10) 내에 반응 물질(18) 및 개질제(14)를 제공한다. 상기 반응 물질(18)과 개질제(14)에 대해서는 위에서 상세하게 설명하였으므로 여기서는 구체적인 설명을 생략한다.
도 6a 내지 도 6d는 도 5에서와 같이 개질제(14), 소스 물질(16), 반응 물질(18)을 공급하는 방법 및 순서를 나타낸 타이밍 다이어그램들이다.
도 6a를 참조하면, 반응 물질(18)과 개질제(14)가 시기적으로 중첩되도록 공급될 수 있다.
먼저, 반응 챔버(10) 내에 소스 물질(16)을 공급하면 기판(100) 표면 위에 소스 물질(16)이 흡착될 수 있다. 퍼지 가스(19)로 반응 챔버(10)를 퍼지하여 과잉의 소스 물질(16)을 제거할 수 있다.
이어서, 반응 물질(18)과 개질제(14)를 동시에 동일한 시간 동안 공급할 수 있다. 그 후 퍼지 가스(19)로 상기 반응 챔버(10)를 퍼지하여 과잉의 반응 물질(18) 및 개질제(14)와 반응 부산물들을 상기 반응 챔버(10)로부터 제거할 수 있다.
도 6b를 참조하면, 반응 챔버(10) 내에 개질제(14)를 먼저 공급하고 반응 물질(18)을 나중에 공급하되, 이들의 공급 기간에 있어 일정 시간(OL) 오버랩되도록 할 수 있다.
먼저, 반응 챔버(10) 내에 소스 물질(16)을 공급하면 기판(100) 표면 위에 소스 물질(16)이 흡착될 수 있다. 퍼지 가스(19)로 반응 챔버(10)를 퍼지하여 과잉의 소스 물질(16)을 제거할 수 있다.
이어서, 개질제(14)를 먼저 공급함으로써 도 4b를 참조하여 설명한 바와 같은 반응 메커니즘을 유도하고, 그에 이어 반응 물질(18)을 공급함으로써 물질막을 형성할 수 있다.
그 후 퍼지 가스(19)로 상기 반응 챔버(10)를 퍼지하여 과잉의 반응 물질(18) 및 개질제(14)와 반응 부산물들을 상기 반응 챔버(10)로부터 제거할 수 있다.
도 6c를 참조하면, 반응 챔버(10) 내에 반응 물질(18)을 먼저 공급하고 개질제(14)를 나중에 공급하되, 이들의 공급 기간에 있어 일정 시간(OL) 오버랩되도록 할 수 있다.
먼저, 반응 챔버(10) 내에 소스 물질(16)을 공급하면 기판(100) 표면 위에 소스 물질(16)이 흡착될 수 있다. 퍼지 가스(19)로 반응 챔버(10)를 퍼지하여 과잉의 소스 물질(16)을 제거할 수 있다.
이어서, 반응 물질(18)을 공급함으로써 물질막을 형성할 수 있다. 또, 개질제(14)를 뒤이어 공급함으로써 도 4a를 설명한 바와 같은 반응 메커니즘을 유도함으로써 과흡착 방지에 기여할 수 있다.
그 후 퍼지 가스(19)로 상기 반응 챔버(10)를 퍼지하여 과잉의 반응 물질(18) 및 개질제(14)와 반응 부산물들을 상기 반응 챔버(10)로부터 제거할 수 있다.
도 6d를 참조하면, 반응 챔버(10) 내에 반응 물질(18)의 공급 기간이 개질제(14)의 공급 기간 내에 포함되도록 할 수 있다.
먼저, 반응 챔버(10) 내에 소스 물질(16)을 공급하면 기판(100) 표면 위에 소스 물질(16)이 흡착될 수 있다. 퍼지 가스(19)로 반응 챔버(10)를 퍼지하여 과잉의 소스 물질(16)을 제거할 수 있다.
이어서, 상기 반응 챔버(10) 내에 개질제(14)를 상기 반응 물질(18)의 공급 개시 이전부터 상기 반응 물질(18)의 공급 중단 이후까지 지속적으로 공급할 수 있다. 그에 의하여 도 4a 내지 도 4c를 참조하여 설명한 반응 메커니즘들에 따른 과흡착 방지 효과를 얻을 수 있다.
그 후 퍼지 가스(19)로 상기 반응 챔버(10)를 퍼지하여 과잉의 반응 물질(18) 및 개질제(14)와 반응 부산물들을 상기 반응 챔버(10)로부터 제거할 수 있다.
<실험예 1>
베어(bare) 실리콘 기판 위에 개질제 없이 소스 물질로서 TEMAZ를, 반응 물질로서 산화제인 오존(O3)을 사용하여 ZrO2 물질막을 형성하였다.
또, 개질제로서 메탄올과 테트라하이드로퓨란(tetrahydrofuran, THF)을 사용하여 도 4a에 나타낸 타이밍 스킴에 따라 ZrO2 물질막을 각각 형성하였다.
특히, 개질제를 공급하여 실리콘 기판 위에 흡착시킨 후의 퍼지 시간을 변화시키면서 물질막의 성장 속도(Å/사이클)를 계산하였다. 도 7은 퍼지 시간 변화에 따른 물질막의 성장 속도를 나타낸 그래프이다.
그 결과 메탄올을 개질제로서 사용하는 경우에는 퍼지 시간이 400초가 지나도 ZrO2 물질막의 성장 속도가 포화되지 못하였으나, THF를 사용하는 경우에는 초기 5초의 퍼지 시간 후 ZrO2 물질막의 성장 속도가 바로 안정화됨을 확인할 수 있었다.
본 발명이 특정 이론에 구속되는 것은 아니나, 메탄올을 개질제로서 사용하였을 때, 100초 이전에 물질막의 성장 속도가 급격하게 감소하는 이유는 개질제의 탈착에 기인하는 것으로 추정된다.
<실험예 2>
베어(bare) 실리콘 기판 위에 개질제 없이 소스 물질로서 TEMAZ를, 반응 물질로서 산화제인 오존(O3)을 사용하여 ZrO2 물질막을 형성하였다.
또, 개질제로서 메탄올과 테트라하이드로퓨란(tetrahydrofuran, THF)을 사용하여 도 4a에 나타낸 타이밍 스킴에 따라 ZrO2 물질막을 각각 형성하였다.
특히, 개질제의 공급 시간을 변화시키면서 물질막의 성장 속도(Å/사이클)를 계산하였다. 도 8은 개질제의 공급 시간 변화에 따른 물질막의 성장 속도를 나타낸 그래프이다.
그 결과 메탄올을 개질제로서 사용하는 경우에는 공급 시간을 60초로 할 때까지 ZrO2 물질막의 성장 속도가 계속 감소하는 것으로 확인되었다. THF를 사용하는 경우, 공급 시간을 3초로 할 때까지 ZrO2 물질막의 성장 속도가 계속 감소하였지만, 이보다 긴 공급 시간을 이용하여 물질막을 형성하면 ZrO2 물질막의 성장 속도가 바로 안정화되는 것을 확인할 수 있었다.
상기 실험예 1과 실험예 2로부터 판단컨대, 상기 결과는 에테르 계열의 개질제인 THF가 알코올 계열의 개질제인 메탄올에 비하여 공정 압력, 퍼지 시간의 변화, 공정 온도 등의 공정 변수에 덜 민감한 데 따른 것으로 추정되나, 본원 발명의 범위가 특정 이론에 의하여 한정되는 것은 아니다.
<실험예 3>
실제 트렌치 구조에서 개질제를 이용한 산화막 증착시 스텝 커버리지의 개선 여부를 관찰하기 위하여 개질제로서 메탄올 및 THF를 이용하여 원자층 증착 실험을 수행하였다. 대상 트렌치 구조는 폭 50nm에 깊이 350nm인 종횡비 7의 트렌치를 이용하였고, 소스 물질로서 TEMAZ를, 반응 물질로서 산화제인 오존(O3)을 사용하여 ZrO2 물질막을 형성하였다.
각 공급 물질의 공급 스킴은 도 4a에 나타낸 바와 같은 공급 스킴을 따랐다. 또한 개질제의 공급 시간을 5초와 400초로 하여 형성된 ZrO2 물질막의 두께를 트렌치 상부와 트렌치 바닥에 대하여 측정하였다.
그 결과 하기 표 1과 같은 결과를 얻었다.
Figure pat00001
개질제로서 메탄올을 사용하는 경우, 메탄올의 공급 시간이 5초 정도로 짧은 경우에는 93%의 스텝 커버리지를 확보하는 것이 가능하였지만, 400초로 공급 시간을 증가시키면 트렌치 바닥의 ZrO2 물질막의 두께가 트렌치 상부의 ZrO2 물질막의 두께보다 더욱 현저하게 얇아졌다. 또한, 그 결과 스텝 커버리지가 70% 정도로 열화되는 것을 알 수 있었다.
개질제로서 THF를 사용하는 경우, THF의 공급 시간을 5초로 하였을 때 92%의 스텝 커버리지를 확보하는 것이 가능하였다. 또한 THF의 공급 시간을 400초로 증가시켜도 여전히 95% 내외의 우수한 스텝 커버리지를 확보하는 것이 가능하였다.
특정 이론에 의하여 구속되는 것은 아니나, 상기 표 1과 같은 결과는 에테르 계열의 개질제가 알코올 계열의 개질제에 비하여 더욱 안정한 개질제임을 보여주는 것이라고 판단되며, 에테르 계열의 개질제를 사용하는 경우 다른 공정 변수들의 변화에도 안정적으로 우수한 스텝 커버리지를 얻을 수 있게 된다.
도 9a 내지 도 9j는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자(300) (도 9j 참조)의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 9a를 참조하면, 복수의 활성 영역(AC)을 포함하는 기판(310) 상에 층간절연막(320)을 형성한 후, 상기 층간절연막(320)을 관통하여 상기 복수의 활성 영역(AC)에 연결되는 복수의 도전 영역(324)을 형성한다.
상기 기판(310)은 Si 또는 Ge와 같은 반도체, 또는 SiGe, SiC, GaAs, InAs, 또는 InP와 같은 화합물 반도체를 포함할 수 있다. 일부 실시예들에서, 상기 기판(310)은 III-V 족 물질 및 IV 족 물질 중 적어도 하나로 이루어질 수 있다. 상기 III-V 족 물질은 적어도 하나의 III 족 원자와 적어도 하나의 V족 원자를 포함하는 2 원계, 3 원계, 또는 4 원계 화합물일 수 있다. 상기 III-V 족 물질은 III 족 원자로서 In, Ga 및 Al 중 적어도 하나의 원자와, V 족 원자로서 As, P 및 Sb 중 적어도 하나의 원자를 포함하는 화합물일 수 있다. 예를 들면, 상기 III-V 족 물질은 InP, InzGa1-zAs (0 ≤ z ≤ 1), 및 AlzGa1-zAs (0 ≤ z ≤ 1)로부터 선택될 수 있다. 상기 2 원계 화합물은, 예를 들면 InP, GaAs, InAs, InSb 및 GaSb 중 어느 하나일 수 있다. 상기 3 원계 화합물은 InGaP, InGaAs, AlInAs, InGaSb, GaAsSb 및 GaAsP 중 어느 하나일 수 있다. 상기 IV 족 물질은 Si 또는 Ge일 수 있다. 그러나, 본 발명의 기술적 사상에 의한 집적회로 소자에서 사용 가능한 III-V 족 물질 및 IV 족 물질이 상기 예시한 바에 한정되는 것은 아니다. 다른 예에서, 상기 기판(310)은 SOI (silicon on insulator) 구조를 가질 수 있다. 상기 기판(310)은 도전 영역, 예를 들면 불순물이 도핑된 웰 (well), 또는 불순물이 도핑된 구조물을 포함할 수 있다.
상기 복수의 활성 영역(AC)은 기판(310)에 형성된 복수의 소자분리 영역(312)에 의해 정의될 수 있다. 상기 소자분리 영역(312)은 실리콘 산화막, 실리콘 질화막, 실리콘 산화질화막, 또는 이들의 조합으로 이루어질 수 있다.
상기 층간절연막(320)은 실리콘 산화막을 포함할 수 있다.
상기 복수의 도전 영역(324)은 기판(310) 상에 형성된 전계효과 트랜지스터와 같은 스위칭 소자(도시 생략)의 일 단자에 연결될 수 있다. 상기 복수의 도전 영역(324)은 폴리실리콘, 금속, 도전성 금속 질화물, 금속 실리사이드, 또는 이들의 조합으로 이루어질 수 있으나, 상기 예시한 바에 한정되는 것은 아니다.
도 9b를 참조하면, 층간절연막(320) 및 복수의 도전 영역(324)을 덮는 절연층(328)을 형성한다. 상기 절연층(328)은 식각 정지층으로 사용될 수 있다.
상기 절연층(328)은 층간절연막(320) 및 후속 공정에서 형성되는 몰드막(330) (도 9c 참조)에 대하여 식각 선택비를 가지는 절연 물질로 이루어질 수 있다. 일부 실시예들에서, 상기 절연층(328)은 실리콘 질화물, 실리콘 산화질화물, 또는 이들의 조합으로 이루어질 수 있다.
일부 실시예들에서, 상기 절연층(328)은 약 100 ∼ 600 Å의 두께로 형성될 수 있으나, 이에 한정되는 것은 아니다.
도 9c를 참조하면, 절연층(328) 위에 몰드막(330)을 형성한다.
일부 실시예들에서, 상기 몰드막(330)은 산화막으로 이루어질 수 있다. 예를 들면, 상기 몰드막(330)은 BPSG (boro phospho silicate glass), PSG (phospho silicate glass), USG (undoped silicate glass), SOD (spin on dielectric), HDP CVD (high density plasma chemical vapor deposition) 공정에 의해 형성된 산화막 등과 같은 산화막을 포함할 수 있다. 상기 몰드막(130)을 형성하기 위하여, 열 CVD 공정 또는 플라즈마 CVD 공정을 이용할 수 있다. 일부 실시예들에서, 상기 몰드막(330)은 약 1000 ∼ 20000 Å의 두께로 형성될 수 있으나, 이에 한정되는 것은 아니다.
일부 실시예들에서, 상기 몰드막(330)은 지지막(도시 생략)을 포함할 수 있다. 상기 지지막은 몰드막(330)에 대하여 식각 선택비를 가지는 물질로 형성될 수 있으며, 약 50 ∼ 3000 Å의 두께를 가질 수 있다. 상기 지지막은 후속 공정에서 상기 몰드막(330)을 제거할 때 사용되는 식각 분위기, 예를 들면 불화암모늄(NH4F), 불산(HF) 및 물을 포함하는 LAL (Limulus Amoebocyte Lysate) 리프트-오프(lift-off) 공정을 이용하는 경우, LAL에 대하여 식각율이 비교적 낮은 물질로 이루어질 수 있다. 일부 실시예들에서, 상기 지지막은 실리콘 질화물, 실리콘 탄화질화물, 탄탈륨 산화물, 티타늄 산화물, 또는 이들의 조합으로 이루어질 수 있으나, 상기 지지막의 구성 물질이 상기 예시한 바에 한정되는 것은 아니다.
도 9d를 참조하면, 상기 몰드막(330) 위에 희생막(342) 및 마스크 패턴(344)을 차례로 형성한다.
상기 희생막(342)은 BPSG, PSG, USG, SOD, HDP CVD 공정에 의해 형성된 산화막 등과 같은 산화막을 포함할 수 있다. 상기 희생막(342)은 약 500 ∼ 2000 Å의 두께를 가질 수 있다. 상기 희생막(342)은 상기 몰드막(330)에 포함된 지지막을 보호하는 역할을 할 수 있다.
상기 마스크 패턴(344)은 산화막, 질화막, 폴리실리콘막, 포토레지스트막, 또는 이들의 조합으로 이루어질 수 있다. 상기 마스크 패턴(344)에 의해 커패시터의 하부 전극이 형성될 영역이 정의될 수 있다.
도 9e를 참조하면, 마스크 패턴(344)을 식각 마스크로 이용하고 절연층(328)을 식각 정지층으로 이용하여 희생막(342) 및 몰드막(330)을 건식 식각하여, 복수의 홀(H1)을 한정하는 희생 패턴(342P) 및 몰드 패턴(330P)을 형성한다.
이 때, 과도 식각에 의해 상기 절연층(328)도 식각되어 복수의 도전 영역(324)을 노출시키는 절연 패턴(328P)이 형성될 수 있다.
도 9f를 참조하면, 도 9e의 결과물로부터 마스크 패턴(344)을 제거한 후, 복수의 홀(H1) 각각의 내부 측벽과, 절연 패턴(328P)의 노출 표면과, 복수의 홀(H1) 각각의 내부에서 노출되는 상기 복수의 도전 영역(324)의 표면과, 희생 패턴(342P)의 노출 표면을 덮는 하부 전극 형성용 도전막(350)을 형성한다.
상기 하부 전극 형성용 도전막(350)은 상기 복수의 홀(H1) 각각의 내부 공간이 일부 남도록 복수의 홀(H1)의 측벽에 컨포멀(conformal)하게 형성될 수 있다.
일부 실시예들에서, 상기 하부 전극 형성용 도전막(350)은 도핑된 반도체, 도전성 금속 질화물, 금속, 금속 실리사이드, 도전성 산화물, 또는 이들의 조합으로 이루어질 수 있다. 예를 들면, 상기 하부 전극 형성용 도전막(350)은 TiN, TiAlN, TaN, TaAlN, W, WN, Ru, RuO2, SrRuO3, Ir, IrO2, Pt, PtO, SRO (SrRuO3), BSRO (Ba,Sr)RuO3), CRO (CaRuO3), LSCo ((La,Sr)CoO3), 또는 이들의 조합으로 이루어질 수 있으나, 상기 하부 전극 형성용 도전막(350)의 구성 물질이 상기 예시한 바에 한정되는 것은 아니다.
상기 하부 전극 형성용 도전막(350)를 형성하기 위하여, CVD, MOCVD (metal organic CVD), 또는 ALD 공정을 이용할 수 있다. 상기 하부 전극 형성용 도전막(350)은 약 20 ∼ 100 nm의 두께로 형성될 수 있으나, 이에 한정되는 것은 아니다.
도 9g를 참조하면, 하부 전극 형성용 도전막(350)의 상부를 부분적으로 제거하여 상기 하부 전극 형성용 도전막(350)을 복수의 하부 전극(LE)으로 분리한다.
상기 복수의 하부 전극(LE)을 형성하기 위하여, 몰드 패턴(330P)의 상면이 노출될 때까지 에치백(etchback) 또는 CMP(chemical mechanical polishing) 공정을 이용하여 상기 하부 전극 형성용 도전막(350)의 상부측 일부와 희생 패턴(342P)(도 9f 참조)을 제거할 수 있다.
상기 복수의 하부 전극(LE)은 상기 절연 패턴(328P)을 관통하여 도전 영역(324)에 연결될 수 있다.
도 9h를 참조하면, 몰드 패턴(330P)을 제거하여, 실린더 형상의 복수의 하부 전극(LE)의 외벽면들을 노출시킨다.
상기 몰드 패턴(330P)은 LAL 또는 불산을 이용하는 리프트-오프 공정에 의해 제거될 수 있다.
도 9i를 참조하면, 복수의 하부 전극(LE) 위에 유전막(360)을 형성한다.
상기 유전막(360)은 상기 복수의 하부 전극(LE)의 노출 표면들을 컨포멀하게 덮도록 형성될 수 있다.
상기 유전막(360)은 ALD 공정에 의해 형성될 수 있다. 상기 유전막(360)을 형성하기 위하여 도 1 및 도 2 또는 도 5를 참조하여 설명한 본 발명의 기술적 사상에 의한 물질막 형성 방법을 이용할 수 있다.
상기 유전막(360)은 산화물, 금속 산화물, 질화물, 또는 이들의 조합을 포함할 수 있다. 일부 실시예들에서, 상기 유전막(360)은 ZrO2 막을 포함할 수 있다. 예를 들면, 상기 유전막(360)은 ZrO2 막의 단일층으로 이루어지거나, 적어도 하나의 ZrO2 막과 적어도 하나의 Al2O3 막의 조합을 포함하는 다중층으로 이루어질 수 있다.
일부 실시예들에서, 상기 유전막(360)은 약 50 ∼ 150 Å의 두께를 가질 수 있으나, 예시한 바에 한정되는 것은 아니다.
도 9j를 참조하면, 유전막(360) 상에 상부 전극(UE)을 형성한다.
상기 하부 전극(LE), 유전막(360), 및 상부 전극(UE)에 의해 커패시터(370)가 구성될 수 있다.
상기 상부 전극(UE)은 도핑된 반도체, 도전성 금속 질화물, 금속, 금속 실리사이드, 도전성 산화물, 또는 이들의 조합으로 이루어질 수 있다. 예를 들면, 상기 상부 전극(UE)은 TiN, TiAlN, TaN, TaAlN, W, WN, Ru, RuO2, SrRuO3, Ir, IrO2, Pt, PtO, SRO (SrRuO3), BSRO (Ba,Sr)RuO3), CRO (CaRuO3), LSCo ((La,Sr)CoO3), 또는 이들의 조합으로 이루어질 수 있으나, 상기 상부 전극(UE)의 구성 물질이 상기 예시한 바에 한정되는 것은 아니다.
상기 상부 전극(UE)을 형성하기 위하여, CVD, MOCVD, PVD, 또는 ALD 공정을 이용할 수 있다.
이상, 도 9a 내지 도 9j를 참조하여 실린더형 하부 전극(LE)의 표면을 덮는 유전막(360)을 형성하는 공정을 포함하는 집적회로 소자(300)의 제조 방법에 대하여 설명하였으나, 본 발명의 기술적 사상은 상기 예시한 바에 한정되는 것은 아니다. 예를 들면, 상기 실린더형 하부 전극(LE) 대신 내부 공간이 없는 필라(pillar)형 하부 전극을 형성할 수도 있으며, 상기 유전막(360)은 상기 필라형 하부 전극 위에 형성될 수도 있다.
도 9a 내지 도 9j를 참조하여 설명한 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법에 따르면, 유전막(360)을 형성하기 위하여, 본 발명의 기술적 사상에 의한 물질막 형성 방법에 따라 하부 전극(LE) 위에 에테르계 개질제의 흡착층 및 소스 물질의 흡착층을 형성하고, 여기에 산화제 또는 환원제와 같은 반응 물질을 공급하여 상기 중심 원자들을 포함하는 물질막을 형성하는 공정을 이용한다.
도 10a 내지 도 10c를 참조하면, 집적회로 소자(400)는 기판(402)으로부터 돌출된 핀형 (fin-type) 활성 영역(FA)을 포함한다.
상기 기판(402)에 대한 상세한 사항은 도 9a를 참조하여 기판(310)에 대하여 설명한 바와 대체로 동일하다.
상기 기판(402)은 III-V 족 물질 또는 IV 족 물질로 이루어짐으로써 저전력, 고속 트랜지스터를 만들 수 있는 채널 재료로 이용될 수 있다. 상기 기판(402) 상에 NMOS 트랜지스터를 형성하는 경우, 상기 기판(402)은 III-V 족 물질들 중 어느 하나로 이루어질 수 있다. 예를 들면, 상기 기판(402)은 GaAs로 이루어질 수 있다. 상기 기판(402) 상에 PMOS 트랜지스터를 형성하는 경우, 상기 기판(402)은 Si 기판에 비해 정공의 이동도가 높은 반도체 물질, 예를 들면 Ge로 이루어질 수 있다.
상기 핀형 활성 영역(FA)은 일 방향 (도 10a 및 도 10b에서 Y 방향)을 따라 연장될 수 있다. 상기 기판(402)상에는 상기 핀형 활성 영역(FA)의 하부 측벽을 덮는 소자분리막(410)이 형성되어 있다. 상기 핀형 활성 영역(FA)은 상기 소자분리막(410) 위로 핀 형상으로 돌출되어 있다. 일부 실시예들에서, 상기 소자분리막(410)은 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막, 또는 이들의 조합으로 이루어질 수 있으나, 이에 한정되는 것은 아니다.
상기 기판(410)상에서 상기 핀형 활성 영역(FA) 위에는 게이트 구조체(420)가 상기 핀형 활성 영역(FA)의 연장 방향에 교차하는 방향 (X 방향)으로 연장될 수 있다. 상기 핀형 활성 영역(FA) 중 게이트 구조체(420)의 양 측에는 한 쌍의 소스/드레인 영역(430)이 형성될 수 있다.
상기 한 쌍의 소스/드레인 영역(430)은 상기 핀형 활성 영역(FA)으로부터 에피텍셜 성장된 반도체층을 포함할 수 있다. 상기 한 쌍의 소스/드레인 영역(430)은 각각 에피텍셜 성장된 복수의 SiGe층을 포함하는 임베디드 SiGe 구조, 에피텍셜 성장된 Si 층, 또는 에피텍셜 성장된 SiC 층으로 이루어질 수 있다. 도 10b에서, 상기 한 쌍의 소스/드레인 영역(430)이 특정한 형상을 가지는 경우를 예시하였으나, 본 발명의 기술적 사상에 따르면 상기 한 쌍의 소스/드레인 영역(430)의 단면 형상이 도 9b에 예시된 바에 한정되지 않으며, 다양한 형상을 가질 수 있다. 예를 들면, 상기 한 쌍의 소스/드레인 영역(430)은 원, 타원, 다각형 등 다양한 단면 형상을 가질 수 있다.
상기 핀형 활성 영역(FA)과 상기 게이트 구조체(420)가 교차하는 부분에서 MOS 트랜지스터(TR)가 형성될 수 있다. 상기 MOS 트랜지스터(TR)는 핀형 활성 영역(FA)의 상면 및 양 측면에서 채널이 형성되는 3 차원 구조의 MOS 트랜지스터로 이루어진다. 상기 MOS 트랜지스터(TR)는 NMOS 트랜지스터 또는 PMOS 트랜지스터를 구성할 수 있다.
도 10c에 예시한 바와 같이, 게이트 구조체(420)는 핀형 활성 영역(FA)의 표면으로부터 차례로 형성된 인터페이스층(412), 고유전막(414), 제1 금속함유층(426A), 제2 금속함유층(426B), 및 갭필 금속층(428)을 포함할 수 있다. 상기 게이트 구조체(420) 중 제1 금속함유층(426A), 제2 금속함유층(426B), 및 갭필 금속층(428)은 게이트 전극(420G)을 구성할 수 있다.
상기 게이트 구조체(420)의 양 측면에는 절연 스페이서(442)가 형성될 수 있다. 상기 절연 스페이서(442)를 중심으로 게이트 구조체(420)의 반대측에서 상기 절연 스페이서(442)를 덮는 층간 절연막(444)이 형성될 수 있다.
상기 인터페이스층(412)은 핀형 활성 영역(FA)의 표면 위에 형성될 수 있다. 상기 인터페이스층(412)은 산화막, 질화막, 또는 산화질화막과 같은 절연 물질로 형성될 수 있다. 상기 인터페이스층(412)은 고유전막(414)과 함께 게이트 절연막을 구성할 수 있다.
상기 고유전막(414)은 실리콘 산화막보다 유전 상수가 더 큰 물질로 이루어질 수 있다. 예를 들면, 상기 고유전막(414)은 약 10 내지 25의 유전 상수를 가질 수 있다. 상기 고유전막(414)은 지르코늄 산화물 (zirconium oxide), 지르코늄 실리콘 산화물 (zirconium silicon oxide), 하프늄 산화물 (hafnium oxide), 하프늄 산질화물 (hafnium oxynitride), 하프늄 실리콘 산화물 (hafnium silicon oxide), 탄탈륨 산화물 (tantalum oxide), 티타늄 산화물 (titanium oxide), 바륨 스트론튬 티타늄 산화물 (barium strontium titanium oxide), 바륨 티타늄 산화물 (barium titanium oxide), 스트론튬 티타늄 산화물 (strontium titanium oxide), 이트륨 산화물 (yttrium oxide), 알루미늄 산화물 (aluminum oxide), 납 스칸듐 탄탈륨 산화물 (lead scandium tantalum oxide), 및 납 아연 니오브산염 (lead zinc niobate), 및 이들의 조합 중에서 선택되는 물질로 이루어질 수 있으나, 상기 고유전막(414)을 구성하는 물질이 상기 예시된 바에 한정되는 것은 아니다.
상기 고유전막(414)은 ALD 공정에 의해 형성될 수 있다. 상기 고유전막(414)을 형성하기 위하여 도 1 및 도 2 또는 도 5를 참조하여 설명한 본 발명의 기술적 사상에 의한 물질막 형성 방법을 이용할 수 있다.
일부 실시예들에서, 상기 제1 금속함유층(426A)은 Ti의 질화물, Ta의 질화물, Ti의 산화질화물, 또는 Ta의 산화질화물을 포함할 수 있다. 예를 들면, 상기 제1 금속함유층(426A)은 TiN, TaN, TiAlN, TaAlN, TiSiN, 또는 이들의 조합으로 이루어질 수 있다. 상기 제1 금속함유층(426A)은 ALD, CVD, PVD 등 다양한 증착 방법을 통해 형성될 수 있다.
일부 실시예들에서, 상기 제2 금속함유층(426B)은 Ti 또는 Ta을 함유한 Al 화합물을 포함하는 NMOS 트랜지스터에 필요한 N 형 금속 함유층으로 이루어질 수 있다. 예를 들면, 상기 제2 금속함유층(426B)은 TiAlC, TiAlN, TiAlCN, TiAl, TaAlC, TaAlN, TaAlCN, TaAl, 또는 이들의 조합으로 이루어질 수 있다.
다른 일부 실시예들에서, 상기 제2 금속함유층(426B)은 PMOS 트랜지스터에 필요한 P 형 금속 함유층으로 이루어질 수 있다. 예를 들면, 상기 제2 금속함유층(426B)은 Mo, Pd, Ru, Pt, TiN, WN, TaN, Ir, TaC, RuN 및 MoN 중 적어도 하나를 포함할 수 있다.
상기 제2 금속함유층(426B)은 단일층 또는 다중층으로 이루어질 수 있다.
상기 제2 금속함유층(426B)은 상기 제1 금속함유층(426A)과 함께 게이트 구조체(120)의 일함수를 조절하는 역할을 할 수 있다. 상기 제1 금속함유층(426A) 및 제2 금속함유층(426B)의 일함수 조절에 의해 게이트 구조체(120)의 문턱 전압이 조절될 수 있다. 일부 실시예들에서, 상기 제1 금속함유층(426A) 및 제2 금속함유층(426B) 중 어느 하나는 생략 가능하다.
상기 갭필 금속층(428)은 RMG (Replacement Metal Gate) 공정에 의해 게이트 구조체(420)를 형성할 때 상기 제2 금속함유층(426B) 상의 남는 게이트 공간을 채우도록 형성될 수 있다. 상기 제2 금속함유층(426B)을 형성한 후 상기 제2 금속함유층(426B) 상부에 남아 있는 게이트 공간이 없는 경우, 상기 제2 금속함유층(426B) 위에 상기 갭필 금속층(428)이 형성되지 않고 생략될 수도 있다.
상기 갭필 금속층(428)은 W, TiN, TaN 등의 금속 질화물, Al, 금속 탄화물, 금속 실리사이드, 금속 알루미늄 탄화물, 금속 알루미늄 질화물, 금속 실리콘 질화물 등을 포함하는 그룹 중에서 선택된 물질을 포함할 수 있다.
도 10a 내지 도 10c를 참조하여 설명한 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자(400)의 제조 방법에 따르면, 고유전막(414)을 형성하기 위하여 본 발명의 기술적 사상에 의한 물질막 형성 방법에 따라, 인터페이스층(412)이 형성된 핀형 활성 영역(FA) 위에 에테르계 개질제의 흡착층 및 소스 물질의 흡착층을 형성하고, 여기에 산화제 또는 환원제와 같은 반응 물질을 공급하여 상기 중심 원자들을 포함하는 물질막을 형성하는 공정을 이용한다.
도 10a 내지 도 10c를 참조하여, 3 차원 구조의 채널을 구비하는 FinFET을 포함하는 집적회로 소자의 제조 방법에 대하여 설명하였으나, 본 발명의 기술적 사상은 상기 설명한 바에 한정되는 것은 아니다. 예를 들면, 상기한 바와 같은 본 발명의 기술적 사상의 범위 내에서 본 발명의 기술적 사상의 다양한 변형 및 변경을 통하여 본 발명의 기술적 사상에 의한 특징들을 가지는 수평형 (planar) MOSFET을 포함하는 집적회로 소자들의 제조 방법들을 제공할 수 있음은 당업자들이면 잘 알 수 있을 것이다.
도 11은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자(500)의 제조방법에 따라 형성된 반도체 소자의 또 다른 예를 나타낸 단면도이다.
도 11을 참조하면, 반도체 기판(501) 상에 수직적으로 적층된 층간 절연 막들(510)이 제공될 수 있다. 상기 층간 절연 막들(510) 사이에 개재된 도전성 패턴들(570)이 제공될 수 있다.
상기 도전성 패턴들(570)과 상기 층간 절연 막들(510)을 관통하는 수직 구조체(540)가 제공될 수 있다. 상기 수직 구조체(540)는 코어 패턴(525), 패드 패턴(530), 상기 코어 패턴(525)의 측면을 둘러싸며 상기 패드 패턴(530)의 측면 상으로 연장된 외측 패턴(520)을 포함할 수 있다.
상기 코어 패턴(525)은 실리콘 산화물 등과 같은 절연성 물질로 형성될 수 있다. 상기 코어 패턴(525)을 ALD 방법에 의한 유전체로 형성하는 경우에, 상기 코어 패턴(525)은 본 발명의 기술적 사상의 실시예들에 따른 물질막 형성 방법을 이용하여 형성할 수 있다.
상기 패드 패턴(530)은 상기 코어 패턴(525) 상에 위치하며 상기 도전성 패턴들(570) 중 최상위 도전성 패턴보다 높은 레벨에 위치할 수 있다. 상기 패드 패턴(530)은 도핑된 폴리실리콘 등과 같은 도전성 물질로 형성될 수 있다.
상기 외측 패턴(520)은 트랜지스터의 채널 역할을 할 수 있는 반도체 패턴을 포함할 수 있다. 예를 들어, 상기 외측 패턴(520)은 실리콘 등과 같은 반도체 물질을 포함할 수 있다. 상기 외측 패턴(520)에서 상기 도전성 패턴들(570)과 가까운 부분은 유전체를 포함할 수 있다. 상기 유전체는 트랜지스터의 터널 산화막 역할을 할 수 있는 물질, 예를 들어 실리콘 산화물을 포함할 수 있다. 상기 유전체는 플래쉬 메모리 소자의 정보를 저장할 수 있는 물질, 예를 들어 실리콘 질화물 또는 고유전체를 포함할 수 있다. 상기 유전체는 본 발명의 기술적 사상의 실시예들에 따른 박막 형성 방법을 이용하여 형성할 수 있다.
한편, 상기 도전성 패턴들(570)은 금속 질화막 및/또는 금속막을 포함할 수 있다. 예를 들어, 상기 도전성 패턴들(570)의 각각은 금속막 및 상기 금속막과 상기 층간 절연막들(510) 사이에 개재된 금속 질화막으로 형성할 수 있다. 그리고, 상기 금속 질화막은 상기 금속막과 상기 수직 구조체(540) 사이로 연장될 수 있다. 상기 도전성 패턴들(570)은 본 발명의 기술적 사상의 실시예들에 따른 물질막 형성 방법을 이용하여 형성할 수 있다.
상기 층간 절연막(510) 및 상기 수직 구조체(540)를 덮는 캐핑 절연막(550)이 제공될 수 있다.
도 12는 본 발명의 기술적 사상에 의한 실시예들에 따른 디스플레이 구동 집적회로 (display driver IC: DDI)(1500)를 구비하는 디스플레이 장치(1520)의 개략적인 블록 다이어그램이다.
도 12를 참조하면, DDI(1500)는 제어부 (controller)(1502), 파워 공급 회로부 (power supply circuit)(1504), 드라이버 블록 (driver block)(1506), 및 메모리 블록 (memory block)(1508)을 포함할 수 있다. 제어부(1502)는 중앙 처리 장치 (main processing unit: MPU)(1522)로부터 인가되는 명령을 수신하여 디코딩하고, 상기 명령에 따른 동작을 구현하기 위해 DDI(1500)의 각 블록들을 제어한다. 파워 공급 회로부(1504)는 제어부(1502)의 제어에 응답하여 구동 전압을 생성한다. 드라이버 블록(1506)은 제어부(1502)의 제어에 응답하여 파워 공급 회로부(1504)에서 생성된 구동 전압을 이용하여 디스플레이 패널(1524)를 구동한다. 디스플레이 패널(1524)은 액정 디스플레이 패널 (liquid crystal display pannel), 플라즈마 디스플레이 패널, 또는 OLED (organic light emitting diodes) 디스플레이 패널일 수 있다. 메모리 블록(1508)은 제어부(1502)로 입력되는 명령 또는 제어부(1502)로부터 출력되는 제어 신호들을 일시적으로 저장하거나, 필요한 데이터들을 저장하는 블록으로서, RAM, ROM 등의 메모리를 포함할 수 있다. 파워 공급 회로부(1504) 및 드라이버 블록(1506) 중 적어도 하나는 도 1 및 도 2 또는 도 5를 참조하여 설명한 본 발명의 기술적 사상에 의한 물질막 형성 방법에 따라 형성된 박막, 또는 도 9a 내지 도 11을 참조하여 설명한 방법에 의해 제조된 집적회로 소자(300, 400, 500)를 포함한다.
도 13은 본 발명의 기술적 사상에 의한 실시예들에 따른 전자 시스템(1900)을 도시한 블록 다이어그램이다.
상기 전자 시스템(1900)은 메모리(1910) 및 메모리 콘트롤러(1920)를 포함한다. 상기 메모리 콘트롤러(1920)는 호스트(1930)의 요청에 응답하여 상기 메모리(1910)로부터의 데이타 독출 및/또는 상기 메모리(1910)로의 데이타 기입을 위하여 상기 메모리(1910)를 제어한다. 상기 메모리(1910) 및 메모리 콘트롤러(1920) 중 적어도 하나는 도 1 및 도 2 또는 도 5를 참조하여 설명한 본 발명의 기술적 사상에 의한 물질막 형성 방법에 따라 형성된 박막, 또는 도 9a 내지 도 11을 참조하여 설명한 방법에 의해 제조된 집적회로 소자(300, 400, 500)를 포함한다.
도 14는 본 발명의 기술적 사상에 의한 실시예들에 따른 전자 시스템(2000)의 블록 다이어그램이다.
상기 전자 시스템(2000)은 콘트롤러(2010), 입출력 장치 (I/O)(2020), 메모리(2030), 및 인터페이스(2040)를 포함하며, 이들은 각각 버스(2050)를 통해 상호 연결되어 있다.
상기 콘트롤러(2010)는 마이크로프로세서 (microprocessor), 디지탈 신호 프로세서, 또는 이들과 유사한 처리 장치 중 적어도 하나를 포함할 수 있다. 상기 입출력 장치(2020)는 키패드 (keypad), 키보드 (keyboard), 또는 디스플레이 (display) 중 적어도 하나를 포함할 수 있다. 상기 메모리(2030)는 콘트롤러(2010)에 의해 실행된 명령을 저장하는 데 사용될 수 있다. 예를 들면, 상기 메모리(2030)는 유저 데이타 (user data)를 저장하는 데 사용될 수 있다.
상기 전자 시스템(2000)은 무선 통신 장치, 또는 무선 환경 하에서 정보를 전송 및/또는 수신할 수 있는 장치를 구성할 수 있다. 상기 전자 시스템(2000)에서 무선 커뮤니케이션 네트워크를 통해 데이타를 전송/수신하기 위하여 상기 인터페이스(2040)는 무선 인터페이스로 구성될 수 있다. 상기 인터페이스(2040)는 안테나 및/또는 무선 트랜시버 (wireless transceiver)를 포함할 수 있다. 일부 실시예에서, 상기 전자 시스템(2000)은 제3 세대 통신 시스템, 예를 들면, CDMA(code division multiple access), GSM (global system for mobile communications), NADC (north American digital cellular), E-TDMA (extended-time division multiple access), 및/또는 WCDMA (wide band code division multiple access)와 같은 제3 세대 통신 시스템의 통신 인터페이스 프로토콜에 사용될 수 있다. 상기 전자 시스템(2000)은 도 1 및 도 2 또는 도 5를 참조하여 설명한 본 발명의 기술적 사상에 의한 박막 형성 방법에 따라 형성된 박막, 또는 도 9a 내지 도 11을 참조하여 설명한 방법에 의해 제조된 집적회로 소자(300, 400, 500)를 포함한다.
이상에서 살펴본 바와 같이 본 발명의 실시예들에 대해 상세히 기술되었지만, 본 발명이 속하는 기술분야에 있어서 통상의 지식을 가진 사람이라면, 첨부된 청구 범위에 정의된 본 발명의 정신 및 범위를 벗어나지 않으면서 본 발명을 여러 가지로 변형하여 실시할 수 있을 것이다. 따라서 본 발명의 앞으로의 실시예들의 변경은 본 발명의 기술을 벗어날 수 없을 것이다.
본 발명은 반도체 산업에 유용하게 이용될 수 있다.
10: 반응 챔버 14: 개질제
16: 소스 물질 18: 반응 물질
19: 퍼지 가스 20a: 공정 물질 공급 시스템
30a: 소스 물질 공급 장치 40: 소스 물질 저장 용기
42, 52, 62, 82, 92: 배관 44, 54, 64, 84, 94: 유량 제어 장치
50: 기화기 60a: 개질제 공급 장치
80a: 반응 물질 공급 장치 90a: 퍼지 가스 공급 장치
100: 기판

Claims (10)

  1. 반응 챔버 내에 기판을 제공하는 단계;
    상기 기판 상에 리간드를 갖는 금속 또는 준금속의 전구체인 소스 물질을 제공하는 단계;
    상기 기판 상에 에테르계 개질제(modifier)를 제공하는 단계;
    상기 반응 챔버의 내부를 퍼지(purge)하는 단계; 및
    상기 소스 물질과 반응하여 물질막을 형성할 수 있는 반응 물질을 제공하는 단계;
    를 포함하는 물질막 형성 방법.
  2. 제 1 항에 있어서,
    상기 소스 물질을 제공하는 단계는 상기 소스 물질의 층을 형성하기 위하여 상기 소스 물질을 제공하는 단계를 포함하고,
    상기 에테르계 개질제를 제공하는 단계는 상기 소스 물질을 제공하는 단계 이후에 수행되는 것을 특징으로 하는 물질막 형성 방법.
  3. 제 1 항에 있어서,
    상기 소스 물질을 제공하는 단계는 상기 소스 물질의 층을 형성하기 위하여 상기 소스 물질을 제공하는 단계를 포함하고,
    상기 에테르계 개질제를 제공하는 단계는 상기 소스 물질을 제공하는 단계 이전에 수행되는 것을 특징으로 하는 물질막 형성 방법.
  4. 제 1 항에 있어서,
    상기 소스 물질을 제공하는 단계와 상기 에테르계 개질제를 제공하는 단계가 서로 시간적으로 적어도 부분적으로 중첩되도록 수행되는 것을 특징으로 하는 물질막 형성 방법.
  5. 제 1 항에 있어서,
    상기 에테르계 개질제를 제공하는 단계는 상기 소스 물질을 제공하는 단계의 이전 및 이후에 모두 수행되는 것을 특징으로 하는 물질막 형성 방법.
  6. 제 1 항에 있어서,
    상기 소스 물질을 제공하는 단계, 상기 에테르계 개질제를 제공하는 단계, 상기 반응 챔버의 내부를 퍼지하는 단계, 및 상기 반응 물질을 제공하는 단계는 원하는 두께의 물질막이 형성될 때까지 2회 이상 반복되는 것을 특징으로 하는 물질막 형성 방법.
  7. 제 1 항에 있어서,
    상기 반응 물질을 제공하는 단계는 상기 물질막을 하나 이하의 모노레이어로 형성하기 위하여 상기 반응 물질을 제공하는 단계를 포함하고,
    상기 에테르계 개질제를 제공하는 단계와 상기 반응 물질을 제공하는 단계는 시간적으로 적어도 부분적으로 중첩되는 것을 특징으로 하는 물질막 형성 방법.
  8. 제 1 항에 있어서,
    상기 소스 물질은 서로 상이한 제 1 소스 물질 및 제 2 소스 물질을 포함하는 것을 특징으로 하는 물질막 형성 방법.
  9. 제 1 항에 있어서,
    상기 에테르계 개질제는 R-O-R'로 표시되고,
    상기 R과 R'는 각각 독립적으로 C1 내지 C10의 알킬, C1 내지 C10의 알케닐, C6 내지 C12의 아릴, C6 내지 C12의 아릴알킬, C6 내지 C12의 알킬아릴, C3 내지 C12의 사이클로알킬, C3 내지 C12의 사이클로알케닐, C3 내지 C12의 사이클로알키닐, 및 고리 중에 N, O, 또는 N 및 O를 포함하는 C3 내지 C12의 헤테로사이클로알킬로 구성되는 군으로부터 선택되는 것을 특징으로 하는 물질막 형성 방법.
  10. 반도체 기판의 활성 영역과 전기적으로 연결된 하부 전극을 형성하는 단계;
    상기 하부 전극의 노출된 전체 표면에 대하여 유전막을 형성하는 단계; 및
    상기 유전막 위에 상부 전극을 형성하는 단계;
    를 포함하고,
    상기 유전막을 형성하는 단계는,
    소스 물질을 공급하는 단계;
    에테르계 개질제(modifier)를 공급하는 단계; 및
    반응 물질을 공급하는 단계;
    를 포함하는 반도체 소자의 제조 방법.
KR1020150110234A 2015-08-04 2015-08-04 물질막 형성 방법 KR102358566B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020150110234A KR102358566B1 (ko) 2015-08-04 2015-08-04 물질막 형성 방법
US15/227,089 US10103026B2 (en) 2015-08-04 2016-08-03 Methods of forming material layer
US15/482,005 US10468256B2 (en) 2015-08-04 2017-04-07 Methods of forming material layer
US15/685,619 US20170350012A1 (en) 2015-08-04 2017-08-24 Semiconductor manufacturing apparatus
US17/153,281 US20210140048A1 (en) 2015-08-04 2021-01-20 Semiconductor manufacturing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150110234A KR102358566B1 (ko) 2015-08-04 2015-08-04 물질막 형성 방법

Publications (2)

Publication Number Publication Date
KR20170016748A true KR20170016748A (ko) 2017-02-14
KR102358566B1 KR102358566B1 (ko) 2022-02-04

Family

ID=58053838

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150110234A KR102358566B1 (ko) 2015-08-04 2015-08-04 물질막 형성 방법

Country Status (2)

Country Link
US (2) US10103026B2 (ko)
KR (1) KR102358566B1 (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200072407A (ko) 2018-12-12 2020-06-22 에스케이트리켐 주식회사 금속막 형성용 전구체 조성물, 이를 이용한 금속막 형성 방법 및 상기 금속막을 포함하는 반도체 소자.
CN112779520A (zh) * 2019-11-05 2021-05-11 有进科技材料股份有限公司 利用表面保护物质的薄膜形成方法
WO2021096326A1 (ko) * 2019-11-15 2021-05-20 주식회사 유진테크 머티리얼즈 표면 보호 물질을 이용한 박막 형성 방법
US11133314B2 (en) 2019-11-01 2021-09-28 Samsung Electronics Co., Ltd. Semiconductor memory device and method of fabricating the same
KR20220028985A (ko) 2020-09-01 2022-03-08 에스케이하이닉스 주식회사 증착 억제제 및 이를 이용한 유전막 형성 방법
KR20220064036A (ko) 2020-11-11 2022-05-18 (주) 예스티 적외선 히터용 면상발열체.
WO2023195656A1 (ko) * 2022-04-05 2023-10-12 솔브레인 주식회사 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
WO2024090846A1 (ko) * 2022-10-26 2024-05-02 솔브레인 주식회사 진공 기반 박막 개질제, 이를 포함한 박막 개질 조성물, 이를 이용한 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102358566B1 (ko) * 2015-08-04 2022-02-04 삼성전자주식회사 물질막 형성 방법
CN105702737B (zh) 2016-02-05 2019-01-18 中国科学院微电子研究所 连接有负电容的多栅FinFET及其制造方法及电子设备
KR102271771B1 (ko) 2017-05-25 2021-07-01 삼성전자주식회사 박막 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
KR20190026835A (ko) * 2017-06-12 2019-03-13 가부시키가이샤 알박 박막 형성 방법
KR102381419B1 (ko) * 2017-06-29 2022-04-01 삼성디스플레이 주식회사 반도체 소자의 제조 방법, 반도체 소자를 포함하는 유기 발광 표시 장치 및 유기 발광 표시 장치의 제조 방법
KR20220123035A (ko) * 2019-12-27 2022-09-05 버슘머트리얼즈 유에스, 엘엘씨 막을 증착하는 방법
CN112038214A (zh) * 2020-06-19 2020-12-04 中国科学院微电子研究所 一种氧化锆膜及其沉积方法、应用

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050009266A1 (en) * 2002-08-28 2005-01-13 Micron Technology, Inc. Systems and methods for forming refractory metal oxide layers
KR20050112083A (ko) * 2003-02-17 2005-11-29 꼼미사리아 아 레네르지 아토미끄 표면-코팅방법, 그 방법을 사용한 미세전자기기 배선제조방법 및 집적회로
KR20080113053A (ko) * 2006-03-10 2008-12-26 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 티타네이트, 란타네이트 및 탄탈레이트 유전막의 원자층 증착 및 화학 증기 증착용 전구체 조성물
KR20100016477A (ko) * 2007-04-12 2010-02-12 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Ald/cvd용의 지르코늄, 하프늄, 티타늄 및 규소 전구체
KR20100040909A (ko) * 2007-07-03 2010-04-21 베네끄 오이 금속산화물 재료의 증착방법
KR20130105238A (ko) * 2012-03-14 2013-09-25 삼성전자주식회사 반도체 소자의 제조 방법
KR20140011989A (ko) * 2012-07-20 2014-01-29 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5280012A (en) * 1990-07-06 1994-01-18 Advanced Technology Materials Inc. Method of forming a superconducting oxide layer by MOCVD
US5225561A (en) * 1990-07-06 1993-07-06 Advanced Technology Materials, Inc. Source reagent compounds for MOCVD of refractory films containing group IIA elements
US5478610A (en) * 1994-09-02 1995-12-26 Ceram Incorporated Metalorganic chemical vapor deposition of layered structure oxides
US6623656B2 (en) 1999-10-07 2003-09-23 Advanced Technology Materials, Inc. Source reagent composition for CVD formation of Zr/Hf doped gate dielectric and high dielectric constant metal oxide thin films and method of using same
KR20010077096A (ko) 2000-01-31 2001-08-17 윤종용 금속 산화물 전구체의 제조방법
DE10297544B4 (de) 2001-12-18 2015-10-29 Asahi Kasei Kabushiki Kaisha Verfahren zur Herstellung eines Metall-Dünnfilms
JP2003268552A (ja) * 2002-03-18 2003-09-25 Watanabe Shoko:Kk 気化器及びそれを用いた各種装置並びに気化方法
WO2005121400A1 (ja) 2004-06-10 2005-12-22 Mitsubishi Materials Corporation 有機金属化学蒸着法用溶液原料及び該原料を用いて作製された複合酸化物系誘電体薄膜
JP2006222136A (ja) * 2005-02-08 2006-08-24 Tokyo Electron Ltd 容量素子の製造方法及び半導体装置の製造方法並びに半導体製造装置
US7989361B2 (en) 2006-09-30 2011-08-02 Samsung Electronics Co., Ltd. Composition for dielectric thin film, metal oxide dielectric thin film using the same and preparation method thereof
US7892964B2 (en) * 2007-02-14 2011-02-22 Micron Technology, Inc. Vapor deposition methods for forming a metal-containing layer on a substrate
JP4793592B2 (ja) 2007-11-22 2011-10-12 信越化学工業株式会社 金属酸化物含有膜形成用組成物、金属酸化物含有膜、金属酸化物含有膜形成基板及びこれを用いたパターン形成方法
KR101284664B1 (ko) 2010-12-31 2013-07-11 삼성전자주식회사 실릴아민 리간드가 포함된 유기금속화합물, 및 이를 전구체로 이용한 금속 산화물 또는 금속-규소 산화물의 박막 증착 방법
US8592005B2 (en) 2011-04-26 2013-11-26 Asm Japan K.K. Atomic layer deposition for controlling vertical film growth
KR101895398B1 (ko) 2011-04-28 2018-10-25 삼성전자 주식회사 산화물 층의 형성 방법 및 이를 포함하는 반도체 소자의 제조 방법
EP2608217B1 (en) 2011-12-21 2014-07-16 Agfa-Gevaert A dispersion comprising metallic, metal oxide or metal precursor nanoparticles, a polymeric dispersant and a sintering additive
KR102358566B1 (ko) * 2015-08-04 2022-02-04 삼성전자주식회사 물질막 형성 방법
US20170350012A1 (en) * 2015-08-04 2017-12-07 Sun-min MOON Semiconductor manufacturing apparatus
US9583336B1 (en) * 2016-02-18 2017-02-28 Texas Instruments Incorporated Process to enable ferroelectric layers on large area substrates

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050009266A1 (en) * 2002-08-28 2005-01-13 Micron Technology, Inc. Systems and methods for forming refractory metal oxide layers
KR20050112083A (ko) * 2003-02-17 2005-11-29 꼼미사리아 아 레네르지 아토미끄 표면-코팅방법, 그 방법을 사용한 미세전자기기 배선제조방법 및 집적회로
KR20080113053A (ko) * 2006-03-10 2008-12-26 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 티타네이트, 란타네이트 및 탄탈레이트 유전막의 원자층 증착 및 화학 증기 증착용 전구체 조성물
KR20100016477A (ko) * 2007-04-12 2010-02-12 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Ald/cvd용의 지르코늄, 하프늄, 티타늄 및 규소 전구체
KR20100040909A (ko) * 2007-07-03 2010-04-21 베네끄 오이 금속산화물 재료의 증착방법
KR20130105238A (ko) * 2012-03-14 2013-09-25 삼성전자주식회사 반도체 소자의 제조 방법
KR20140011989A (ko) * 2012-07-20 2014-01-29 도쿄엘렉트론가부시키가이샤 성막 장치 및 성막 방법

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200072407A (ko) 2018-12-12 2020-06-22 에스케이트리켐 주식회사 금속막 형성용 전구체 조성물, 이를 이용한 금속막 형성 방법 및 상기 금속막을 포함하는 반도체 소자.
KR20210070179A (ko) 2018-12-12 2021-06-14 에스케이트리켐 주식회사 금속막 형성용 전구체 조성물, 이를 이용한 금속막 형성 방법 및 상기 금속막을 포함하는 반도체 소자.
US11133314B2 (en) 2019-11-01 2021-09-28 Samsung Electronics Co., Ltd. Semiconductor memory device and method of fabricating the same
US11600621B2 (en) 2019-11-01 2023-03-07 Samsung Electronics Co., Ltd. Semiconductor memory device and method of fabricating the same
CN112779520A (zh) * 2019-11-05 2021-05-11 有进科技材料股份有限公司 利用表面保护物质的薄膜形成方法
WO2021096326A1 (ko) * 2019-11-15 2021-05-20 주식회사 유진테크 머티리얼즈 표면 보호 물질을 이용한 박막 형성 방법
KR20210059332A (ko) * 2019-11-15 2021-05-25 주식회사 이지티엠 표면 보호 물질을 이용한 박막 형성 방법
KR20220028985A (ko) 2020-09-01 2022-03-08 에스케이하이닉스 주식회사 증착 억제제 및 이를 이용한 유전막 형성 방법
KR20220064036A (ko) 2020-11-11 2022-05-18 (주) 예스티 적외선 히터용 면상발열체.
WO2023195656A1 (ko) * 2022-04-05 2023-10-12 솔브레인 주식회사 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
WO2024090846A1 (ko) * 2022-10-26 2024-05-02 솔브레인 주식회사 진공 기반 박막 개질제, 이를 포함한 박막 개질 조성물, 이를 이용한 박막 형성 방법, 이로부터 제조된 반도체 기판 및 반도체 소자

Also Published As

Publication number Publication date
US10468256B2 (en) 2019-11-05
KR102358566B1 (ko) 2022-02-04
US10103026B2 (en) 2018-10-16
US20170040172A1 (en) 2017-02-09
US20170211183A1 (en) 2017-07-27

Similar Documents

Publication Publication Date Title
KR102358566B1 (ko) 물질막 형성 방법
US10651031B2 (en) Tantalum compound
US10752645B2 (en) Method of forming a thin film
US20170350012A1 (en) Semiconductor manufacturing apparatus
CN107619419B (zh) 铝化合物以及使用其形成薄膜和制造集成电路器件的方法
KR102454894B1 (ko) 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
TWI731109B (zh) 鋁化合物、使用其形成薄膜之方法與製造積體電路元件之方法
US11728160B2 (en) Method of forming oxide film including two non-oxygen elements, method of manufacturing semiconductor device, method of forming dielectric film, and semiconductor device
US9960032B2 (en) Methods of forming thin films and methods of fabricating integrated circuit devices using the same
US20180342391A1 (en) Method of forming thin film and method of manufacturing integrated circuit device using the same
US11967502B2 (en) Methods of forming material layer, semiconductor devices, and methods of manufacturing the same
US20210140048A1 (en) Semiconductor manufacturing apparatus
KR20220002096A (ko) 물질막의 형성 방법, 반도체 소자의 제조 방법 및 반도체 소자
CN112341489A (zh) 铌化合物和形成薄膜的方法
CN111362979A (zh) 铝化合物以及使用其制造半导体器件的方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant