KR20160143578A - 고 종횡비 구조체들을 붕괴 없이 건조하기 위한 방법 - Google Patents

고 종횡비 구조체들을 붕괴 없이 건조하기 위한 방법 Download PDF

Info

Publication number
KR20160143578A
KR20160143578A KR1020160070160A KR20160070160A KR20160143578A KR 20160143578 A KR20160143578 A KR 20160143578A KR 1020160070160 A KR1020160070160 A KR 1020160070160A KR 20160070160 A KR20160070160 A KR 20160070160A KR 20160143578 A KR20160143578 A KR 20160143578A
Authority
KR
South Korea
Prior art keywords
solution
nanoparticle
substrate
less
plasma
Prior art date
Application number
KR1020160070160A
Other languages
English (en)
Other versions
KR102591277B1 (ko
Inventor
스티븐 시라드
라차나 리마리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/730,457 external-priority patent/US10008396B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160143578A publication Critical patent/KR20160143578A/ko
Application granted granted Critical
Publication of KR102591277B1 publication Critical patent/KR102591277B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02601Nanoparticles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

복수의 고 종횡비 (HAR) 구조체들을 포함하는 기판을 건조하기 위한 방법은, (a) 습식 에칭 용액, 및 (b) 습식 세정 용액, 및 (c) 습식 린싱 용액 중 적어도 하나를 각각 사용하는 기판의 (i) 습식 에칭, 및 (ii) 습식 세정, 및 (iii) 습식 린싱 중 적어도 하나 후에, 그리고 기판을 건조하지 않고, 폴리머 컴포넌트, 나노입자 컴포넌트, 및 용매를 포함하는 용액을 복수의 HAR 구조체들 사이에 증착하는 단계로서, 용매가 기화될 때, 희생적 브레이싱 재료 (sacrificial bracing material) 가 용액으로부터 침전되고 복수의 HAR 구조체들을 적어도 부분적으로 충진하고, 희생적 브레이싱 재료는 (i) 용액의 폴리머 컴포넌트로부터 폴리머 재료 및 (ii) 용액의 나노입자 컴포넌트로부터의 나노입자 재료를 포함하는, 용매를 포함하는 용액을 복수의 HAR 구조체들 사이에 증착하는 단계; 및 희생적 브레이싱 재료를 기화하기 위해 플라즈마 가스 화학작용을 사용하여 생성된 플라즈마에 기판을 노출시키는 단계를 포함한다.

Description

고 종횡비 구조체들을 붕괴 없이 건조하기 위한 방법{METHOD FOR COLLAPSE-FREE DRYING OF HIGH ASPECT RATIO STRUCTURES}
본 개시는 기판들을 프로세싱하기 위한 시스템들 및 방법들에 관한 것이고, 보다 구체적으로 고 종횡비 (HAR: HIGH ASPECT RATIO) 구조체들을 붕괴 없이 건조하기 위한 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
반도체 웨이퍼들과 같은 기판들의 제조는 통상적으로 재료 증착, 평탄화, 피처 패터닝, 피처 에칭, 및/또는 피처 세정을 포함할 수도 있는 복수의 프로세싱 단계들을 필요로 한다. 이들 프로세싱 단계들은 통상적으로 기판의 프로세싱 동안 1 회 이상 반복된다.
반도체 디바이스들이 보다 작은 피처 사이즈들로 계속해서 축소됨에 따라, 고 종횡비 (HAR) 구조체들은 목표된 디바이스 성능 목적들을 달성하기 위해 점점 더 필요해진다. HAR 구조체들의 사용은 기판 프로세싱 단계들 중 일부에 대해 과제들을 생성한다.
예를 들어, 에칭 및 셍정과 같은 습식 프로세스들은 기판의 건조 동안 생성되는 모세관력으로 인해 HAR 구조체들에 대한 문제들을 제기한다. 모세관력의 강도는 표면 장력, 건조될 에칭 유체, 세정 유체 또는 린싱 유체들의 콘택트 각도, 피처 간격 및/또는 구조체들의 종횡비에 따라 결정된다. 건조 동안 생성된 모세관력이 너무 높다면, HAR 구조체들은 변형되거나 서로 붕괴될 것이고, 마찰력이 발생할 수도 있고, 이는 디바이스 수율을 심각하게 열화시킨다.
붕괴 및 마찰력을 방지하기 위한 일 방법은 구조체들이 붕괴하는 것을 방지하도록 탈이온수보다 낮은 표면 장력을 갖는 린싱 액체들을 사용하는 것이다. 상대적으로 저 종횡비 구조체들에 대해 대체로 성공적이지만, 이 방법은 방법들이 탈 이온수를 사용하기 때문에 보다 높은 종횡비의 구조체들에 대해 동일한 붕괴 및 마찰력 문제들을 가질 수도 있다. 린싱 유체들은 부서지기 쉬운 (fragile) HAR 구조체들에 대해 여전히 너무 강한 힘들을 건조하는 동안 생성하는 유한한 양의 표면 장력을 여전히 갖는다.
HAR 구조체들을 건조하기 위한 대안적인 방법은 초임계 유체를 사용하여 린싱 유체를 분해 및 플러싱하는 것을 수반한다. 초임계 유체들은 올바르게 프로세싱될 때 표면 장력이 없다. 그러나, 몇몇 기술적 과제들 및 제작 상의 과제들이 초임계 유체들을 사용할 때 발생한다. 이 과제들은 높은 장비 및 안전성 비용들, 긴 프로세스 시간들, 프로세스 동안 가변하는 용매 품질, 유체의 확산 및 튜닝가능한 특성으로 인한 극심한 센서티비티, 및 프로세싱 챔버의 컴포넌트들과 초임계 유체의 상호작용으로부터 발생하는 웨이퍼 결함 및 오염 문제들을 포함한다.
HAR 구조체들의 붕괴를 방지하기 위한 또 다른 전략은 구조체들을 지지하는 영구 기계적 브레이싱 구조체 (permanent mechanical bracing structure) 를 추가하는 것이다. 쓰루풋 및 수율에 부정적으로 영향을 줄 수도 있는, 보다 높은 비용 및 프로세스 복잡도와 같이 이 방법에 대한 몇몇 트레이드오프들이 있다. 게다가, 영구 기계적 브레이싱 구조체들은 특정한 타입들의 HAR 구조체들로 제한될 수도 있다.
동결 건조가 HAR 구조체들을 건조하기 위한 방법으로서 또한 제안되었다. 동결 건조는 먼저 용매를 동결하고 이어서 진공 하에서 바로 승화시킴으로써 붕괴를 제거한다. 동결 건조는 모세관력을 최소화하는 액체/기체 계면을 방지한다. 유망해 보이지만, 동결 건조는 경합하는 방법들과 비교할 때 상대적으로 고 비용, 저 쓰루풋 및 고 디펙트들을 갖는다.
HAR 구조체들의 측벽들의 표면 개질이 수행될 수도 있다. 이 방법에서, 저 분자들이 HAR 구조체들의 측벽들에 화학적으로 결합될 수도 있다. 저 분자들은 재료들이 콘택트할 때 재료들의 마찰을 방지하거나 라플라스 압력을 최소화하기 위해 습식 화학반응의 콘택트 각도를 변경함으로써 붕괴 퍼포먼스를 개선한다. 표면 개질은 건조하는 힘들을 완전히 제거하지 못하고, 구조체들은 건조 프로세스 동안 변형할 수도 있고, 이는 대미지를 유발할 수도 있다. 게다가, 표면 재료들이 변화될 때, 새로 맞춰진 (tailored) 분자들이 HAR 구조체들의 측벽들에 결합하기 위해 필요하다.
일 특징에서, 복수의 고 종횡비 (HAR) 구조체들을 포함하는 기판을 건조하기 위한 방법이 기술된다. 방법은 (a) 습식 에칭 용액, 및 (b) 습식 세정 용액, 및 (c) 습식 린싱 용액 중 적어도 하나를 각각 사용하는 기판의 (i) 습식 에칭, 및 (ii) 습식 세정, 및 (iii) 습식 린싱 중 적어도 하나 후에, 그리고 기판을 건조하지 않고, 폴리머 컴포넌트, 나노입자 컴포넌트, 및 용매를 포함하는 용액을 복수의 HAR 구조체들 사이에 증착하는 단계, 및 용매가 기화될 때, 희생 브레이싱 재료 (sacrificial bracing material) 가 용액으로부터 침전되고 복수의 HAR 구조체들을 적어도 부분적으로 충진하여, 희생 브레이싱 재료를 기화하기 위해 플라즈마 가스 화학작용을 사용하여 생성된 플라즈마에 기판을 노출시키는 단계를 포함하고, 희생 브레이싱 재료는 (i) 용액의 폴리머 컴포넌트로부터의 폴리머 재료 및 (ii) 용액의 나노입자 컴포넌트로부터의 나노입자 재료를 포함한다.
일 특징에서, 복수의 고 종횡비 (HAR) 구조체들을 포함하는 기판을 건조하기 위한 시스템이 기술된다. 시스템은, 프로세싱 챔버; 프로세싱 챔버 내에 배치된 기판 지지부; 프로세싱 챔버로 가스 혼합물을 전달하기 위한 가스 전달 시스템; 기판으로 용액을 전달하도록 구성된 유체 전달 시스템; 프로세싱 챔버 내에서 플라즈마를 생성하도록 구성된 플라즈마 생성기; 및 유체 전달 시스템, 가스 전달 시스템 및 플라즈마 생성기와 통신하는 제어기를 포함한다. 제어기는 (a) 습식 에칭 용액, 또는 (b) 습식 세정 용액, 또는 (c) 습식 린싱 용액 중 적어도 하나를 각각 사용하는 기판의 (i) 습식 에칭, 및 (ii) 습식 세정, 및 (iii) 습식 린싱 중 적어도 하나 후에, 그리고 기판을 건조하지 않고, 폴리머 컴포넌트, 나노입자 컴포넌트, 및 용매를 포함하는 용액을 복수의 HAR 구조체들 사이에 증착하는 동작 및 희생 브레이싱 재료를 기화하기 위해 플라즈마 가스 화학작용을 사용하여 생성된 플라즈마에 기판을 노출시키는 동작을 위해 구성된다. 용매가 기화될 때, 희생 브레이싱 재료가 용액으로부터 침전되고 복수의 HAR 구조체들을 적어도 부분적으로 충진하고, 희생 브레이싱 재료는 (i) 용액의 폴리머 컴포넌트로부터의 폴리머 재료 및 (ii) 용액의 나노입자 컴포넌트로부터의 나노입자 재료를 포함한다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 플라즈마를 사용하여 기판의 복수의 HAR 피처들을 건조하기 위한 방법의 예를 예시하는 플로우차트이다.
도 2a 내지 도 2d는 플라즈마를 사용하여 건조하는 동안 기판의 예를 예시하는 측면도들이다.
도 3a는 스핀 코팅 프로세싱 챔버 및 플라즈마 프로세싱 챔버를 예시하는 기능적 블록도이다.
도 3b는 결합된 스핀 코팅 및 플라즈마 프로세싱 챔버를 예시하는 기능적 블록도이다.
도 4는 예시적인 스핀 코팅 프로세싱 챔버의 기능적 블록도이다.
도 5는 예시적인 플라즈마 프로세싱 챔버의 기능적 블록도이다.
도 6은 예시적인 결합된 스핀 코팅 및 플라즈마 프로세싱 챔버의 기능적 블록도이다.
도 7a는 기판 및 나노입자 재료를 포함하지 않는 희생 브레이싱 재료의 예시적인 측면도이다.
도 7b는 기판 및 나노입자 재료를 포함하는 희생 브레이싱 재료의 예시적인 측면도이다.
도 8은 상이한 폴리머들에 대한 유리 전이 온도 대 나노입자 중량 분율의 예시적인 그래프이다.
도 9a는 기판의 일부 및 폴리머 첨가 재료를 포함하지 않는 나노입자 희생 브레이싱 재료의 어닐링 전 측면도이다.
도 9b는 기판의 일부 및 폴리머 첨가 재료를 포함하지 않는 나노입자 희생 브레이싱 재료의 어닐링 후 측면도이다.
도 10a는 기판의 일부 및 폴리머 첨가 재료를 포함하는 나노입자 희생 브레이싱 재료의 어닐링 전 측면도이다.
도 10b는 기판의 일부 및 폴리머 첨가 재료를 포함하는 나노입자 희생 브레이싱 재료의 어닐링 후 측면도이다.
도 11a는 기판 및 나노입자 재료를 포함하지만 폴리머를 포함하지 않는 희생 브레이싱 재료의 어닐링 후 예시적인 측면도이다.
도 11b는 기판 및 나노입자 재료 및 폴리머를 포함하는 희생 브레이싱 재료의 어닐링 후 예시적인 측면도이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
관련 출원들에 대한 교차 참조
본 개시는 2014년 10월 6일 출원된 미국 특허 출원 번호 제 14/507,080 호의 일부 계속 출원이다. 상기 참조된 출원의 전체 개시는 본 명세서에 참조로서 인용되었다.
일부 희생적 브레이싱 방법들이 고 종횡비 (HAR) 구조체들의 붕괴를 방지하도록 사용되었다. 단지 예를 들면, 본 명세서에 전체가 참조로서 인용된, 공동으로 양도된 명칭이 "Method of Collapse-Free Drying of High Aspect Ratio Structures"인, 2013년 6월 21일 출원된 미국 특허 출원 번호 제 13/924,314 호는 희생적 브레이싱 방법을 개시한다. 상기 출원에 기술된 바와 같이, 희생 브레이싱 재료, 예컨대 유리상 폴리머 또는 풀러렌 (fullerene) 용액은 습식 에칭 또는 세정 프로세스 직후에, 그러나 웨이퍼들을 건조하기 전에 HAR 구조체들 내에 증착된다.
용매가 기화함에 따라, 희생 브레이싱 재료는 용액으로부터 침전되고 구조체들을 충진한다. 용매 기화 동안 생성된 모세관력에 대응하도록 기계적 브레이싱이 HAR 구조체들 내에 형성된다. 그 후, 희생 브레이싱 재료는 건조 플라즈마 프로세스를 사용하여 제거된다. 플라즈마 프로세스는 N2, O2, H2, 및/또는 O3 가스들과 같은 반응물질들을 사용할 수도 있다. 본 명세서에서 사용된 바와 같이, HAR은 8:1, 10:1, 15:1, 20:1 또는 50:1 이상의 AR을 갖는 HAR 구조체들을 지칭한다. HAR 애플리케이션에서 인접한 구조체들 간의 거리는 40 ㎚ 미만, 30 ㎚ 미만, 또는 20 ㎚ 미만이다.
일부 희생 브레이싱 재료들, 예컨대 일부 폴리머성 희생 브레이싱 재료들은 희생 브레이싱 재료 제거 동안 유리 전이를 겪을 수도 있고 용해물을 형성할 수도 있다. 용해물은 액체와 같이 거동할 수도 있고 희생 브레이싱 재료의 제거 동안 HAR 구조체 붕괴를 유도할 수 있다.
본 개시에 따라, 희생 브레이싱 재료는 나노입자 재료 (예를 들어, 풀러올 (fullerol)) 및 폴리머를 포함한다. 나노입자 재료는 희생 브레이싱 재료의 유리 전이 온도를 폴리머의 유리 전이 온도보다 높게 상승시킨다. 보다 높은 유리 전이 온도는 보다 빠른 희생 브레이싱 재료의 플라즈마 제거 레이트를 야기하는 보다 높은 애시 온도들을 인에이블할 수도 있고, 이는 프로세싱 시간을 감소시키고 쓰루풋을 증가시킨다. 사용되는 나노입자 재료 및 폴리머의 상대적인 양들은 HAR 구조체들 간의 공간들의 충진을 증가시키기 위해 표면 장력을 감소시키고 그리고/또는 유동성을 증가시키도록 선택될 수도 있다.
이제 도 1을 참조하면, 복수의 HAR 구조체들을 포함하는 기판을 건조하기 위한 방법 (100) 이 도시된다. 복수의 HAR 구조체들을 포함하는 기판은 산과 같은 목표된 에천트 용액 및/또는 세정 용액을 사용하여 122에서 습식 에칭되거나 세정된다. 습식 에칭 또는 세정 후에, 기판은 건조되지 않고, 습식 에칭 또는 세정 용액이 기판 상에 남는다.
일부 예들에서, HAR 구조체들은 라인들/공간들, STI, FinFET들, 또는 원통형 캐패시터들이다. 재료들은 금속, 반도체 또는 유전체 재료들을 포함할 수도 있다. 일부 예들에서, 에칭 및 세정 프로세스들은 스핀 코팅 프로세싱 챔버 내에서 수행될 것이다.
123에서, 린스 용액은 습식 에칭 또는 세정 용액을 밀어내도록 사용될 수도 있다. 린싱 후에, 기판은 건조되지 않고, 린싱 용액이 기판 상에 남는다.
124에서, 선택가능한 전이 용매가 린싱 용액을 밀어내도록 사용될 수도 있다. 전이 용매는 린싱 용액들 및 브레이싱 재료를 용해하도록 사용된 용매의 화학적 성분 (chemical make-up) 및 양립가능성에 따라 결정된다.
126에서, 린싱 용액들 또는 선택가능한 전이 용매는 희생 브레이싱 재료를 포함하는 용매가 밀어낸다. 일부 예들에서, 희생 브레이싱 재료는 하나 이상의 폴리머들 및 나노입자 첨가제들을 포함한다. 계면활성제가 또한 포함될 수도 있다. 이해되는 바와 같이, 기판은 단계들 122, 123, 124, 및 126 동안 젖은 채로 남는다. 일부 예들에서, 희생 브레이싱 재료는 플라즈마 화학반응을 통해 휘발될 수 있는 탄소 함유 재료를 포함한다.
130에서, 과잉 용매는 선택가능하게 스핀 오프될 수도 있다. 희생적 기계적 브레이싱은 기판 상의 복수의 HAR 구조체들을 충진한다. 보다 구체적으로, 용매가 기화할 때, 희생 브레이싱 재료는 용액로부터 침전하고 구조체들을 충진한다. 용매 건조 동안 발생되는 모세관력에 대응하도록 기계적 브레이싱은 HAR 구조체들 내에 형성된다. 기판은, 예를 들어, 교차결합, 잔류 용매를 제거 및/또는 응력들을 완화시키도록 132에서 선택가능하게 어닐링 또는 베이킹될 수도 있다. 기판은 플라즈마 프로세싱 챔버로 이송되거나 결합된 스핀 코팅 플라즈마 프로세싱 챔버가 사용된다면 이송되지 않고 프로세싱이 계속된다.
일부 예들에서, 기판 지지부 또는 플레튼은 플라즈마로의 노출 동안 25 ℃ 내지 400 ℃의 온도로 기판을 가열한다. 134에서, 기판은 플라즈마 가스 화학물질에 노출된다. 예를 들어, 기판은 수소 풍부 플라즈마 가스 화학물질에 노출될 수도 있다. HAR 구조체들의 표면을 개질하지 않고 잔류물 또는 에칭 레이트를 개선하도록 다른 가스들이 수소 풍부 가스와 혼합될 수도 있다. 일부 예들에서, 부가적인 가스들이 약 산화제들 또는 불활성 가스들을 포함할 수도 있다. 약 산화제들의 예들은 이산화탄소, 일산화탄소, 아산화질소, 일산화질소, 이산화질소, 산화황, 이산화황, 물, 그리고 산소 함유 탄화수소들을 포함한다. 일부 예들에서, 혼합물은 10 % 미만의 CO2를 포함한다. 질소, 아르곤, 크세논, 크립톤, 헬륨, 및 네온을 포함하는 불활성 가스들이 또한 첨가될 수도 있다. 일부 예들에서, H2-풍부 분자들, 예컨대 메탄 (CH4) 또는 암모니아 (NH3) 가 사용될 수도 있다. 이들 H-풍부 또는 H2-풍부 분자들은 단독으로 또는 불활성 가스들 및/또는 약 산화제들과 조합하여 사용될 수도 있다. 수소 풍부 플라즈마 가스 화학물질의 예가 제공되지만, 또 다른 적합한 플라즈마 가스 화학물질 예컨대 산소 풍부 플라즈마 화학물질 및/또는 오존이 사용될 수도 있다.
138에서, 플라즈마는 프로세싱 챔버 내에서 스트라이킹되고 희생 브레이싱 재료를 제거하도록 기판은 플라즈마에 노출된다. 일부 예들에서, 플라즈마는 리모트 플라즈마 또는 다운스트림 플라즈마이다. 일부 예들에서, 프로세스 조건들은 500 W 내지 10 ㎾의 RF 전력, 0.1 Torr 내지 3 Torr의 진공 압력, 그리고 500 내지 10000 sccm의 총 가스 플로우를 사용하여 생성된 플라즈마를 포함하지만, 다른 프로세스 조건들이 사용될 수도 있다. 140에서, 선택가능한 기판 RF 바이어스가 사용될 수도 있다.
142에서, 기판은 희생 브레이싱 재료의 제거 후에 플라즈마 프로세싱 챔버로부터 제거된다.
이제 도 2a 내지 도 2d를 참조하면, 희생적 브레이싱을 사용하는 건조 동안 기판 (200) 의 예가 예시된다. 도 2a에서, 기판 (200) 은 하부 기판층 (212) 으로부터 상향으로 연장하는 복수의 HAR 구조체들 (204) 을 포함한다. 예를 들어, 복수의 HAR 구조체들 (204) 은 하부 기판층 (212) 으로부터 상향으로 연장하는 하나 이상의 필라들 (216) 또는 다른 구조체들, 예컨대 라인들/공간들, 커패시터들, 등을 포함할 수도 있지만, 다른 HAR 구조체들을 고려할 수도 있다.
유체 (224) 는 습식 에칭 또는 습식 세정 후에 기판 (200) 상에 남는다. 단지 예를 들면, 유체 (224) 는 필라들 (216) 사이의 공간들 (220) 을 충진할 수도 있다. 도 2b에서, 유체 (224) 를 밀어내도록 선택가능한 전이 용매 (238) 가 사용될 수도 있다. 도 2c에서, 유체 (224) 또는 선택가능한 전이 용매 (238) (사용된다면) 를 밀어내도록 희생 브레이싱 재료를 포함하는 용매 (240) 가 사용될 수도 있다. 도 2d에서, 241에서 부분적으로 도시된 바와 같이, 복수의 HAR 구조체들 (204) 에 대미지를 주지 않고 희생 브레이싱 재료를 제거하도록 플라즈마가 사용될 수도 있다.
이제 도 3a 및 도 3b를 참조하면, 복수의 HAR 구조체들을 갖는 기판을 건조하기 위한 프로세싱 챔버의 예들이 도시된다. 도 3a에서, 습식 에칭, 세정, 및/또는 린싱이 스핀 코팅 프로세싱 챔버 (300) 에서 수행될 수도 있다. 부가적으로, 희생 브레이싱 재료를 갖는 용매 (또는 전이 용매 및 희생 브레이싱 재료를 갖는 용매) 는 스핀 코팅 프로세싱 챔버 (300) 내의 기판에 도포될 수도 있다. 이어서, 기판은 복수의 HAR 구조체들에 대미지를 주지 않고 희생 브레이싱 재료를 제거하도록 플라즈마 프로세싱을 위해 플라즈마 프로세싱 챔버 (304) 로 이송될 수도 있다.
도 3b에서, 결합된 스핀 코팅 및 플라즈마 프로세싱 챔버 (310) 가 도시된다. 결합된 스핀 코팅 및 플라즈마 프로세싱 챔버 (310) 의 스핀 코팅 컴포넌트들을 사용하여 습식 에칭, 세정, 및/또는 린싱이 수행될 수도 있다. 스핀 코팅 컴포넌트들을 사용하여 희생 브레이싱 재료를 갖는 용매 (또는 전이 용매 및 희생 브레이싱 재료를 갖는 용매) 가 도포될 수도 있다. 이어서, HAR 구조체들에 대미지를 주지 않고 기판의 희생 브레이싱 재료를 제거하도록 플라즈마 프로세싱을 위해 결합된 스핀 코팅 및 플라즈마 프로세싱 챔버 (310) 의 플라즈마 컴포넌트들이 사용될 수도 있다.
이제 도 4를 참조하면, 스핀 코팅 프로세싱 챔버 (404) 를 포함하는 시스템 (409) 의 예가 도시된다. 페데스탈 또는 플레튼과 같은 기판 지지부 (408) 가 제공될 수도 있다. 기판 (410) 은 기판 지지부 (408) 상에 배치된다. 모터 (412) 는 기판 (410) 상에 액체들을 스핀 코팅할 필요가 있을 때 기판 지지부 (408) 를 선택적으로 회전시키도록 사용될 수도 있다. 기판 지지부 (408) 는 히터 (422) 에 연결된 임베딩된 코일 (미도시) 을 포함할 수도 있다.
유체 전달 시스템 (424) 은 하나 이상의 액체 소스들 (426-1, 426-2, ..., 및 426-N) (집합적으로 액체 소스들 (426)) 로부터 기판 (410) 으로 유체들을 전달하도록 사용된다. 유체 전달 시스템 (424) 은 하나 이상의 밸브들 (428-1, 428-2, ..., 및 428-N) (집합적으로 밸브들 (428)) 을 포함할 수도 있다. 방향전환 밸브 (430) 는 유체 전달 시스템 (424) 으로부터 액체를 플러싱하도록 사용될 수도 있다. 유체 전달 시스템 (424) 은 습식 에칭, 습식 세정을 위한 유체들, 플러싱 유체, 구조적 브레이싱 재료를 포함하는 용매, 및/또는 다른 유체들을 전달하도록 구성될 수도 있다. 밸브 (452) 및 펌프 (454) 는 필요하다면 스핀 코팅 프로세싱 챔버 (404) 로부터 반응물질들을 배출하도록 사용될 수도 있다. 하나 이상의 센서들 (458) 은 프로세스 챔버 (404) 내의 온도 및 압력과 같은 조건들을 모니터링하도록 제공될 수도 있다.
제어기 (460) 는 시스템 (409) 내의 하나 이상의 디바이스들을 제어하도록 사용될 수도 있다. 보다 구체적으로, 제어기 (460) 는 모터 (412), 히터 (422), 유체 전달 시스템 (424), 및/또는 밸브 (452) 및 펌프 (454) 를 제어하도록 사용될 수도 있다. 제어기 (460) 는 하나 이상의 센서들 (458) 로부터의 피드백에 기초하여 부분적으로 작동될 수도 있다.
이제 도 5를 참조하면, 본 개시에 따른, 기판 프로세싱 시스템 (510) 의 예가 도시된다. 기판 프로세싱 시스템 (510) 은 프로세싱 챔버 (512) 및 가스 분배 디바이스 (513) 를 포함한다. 일부 예들에서, 리모트 플라즈마는 이하에 더 기술되는 바와 같이, 가스 분배 디바이스 (513) 에 공급될 수도 있거나 가스 분배 디바이스 (513) 내에서 생성될 수도 있다. 페데스탈 또는 플레튼과 같은 기판 지지부 (516) 는 프로세싱 챔버 (512) 내에 배치될 수도 있다. 사용 동안, 반도체 웨이퍼와 같은 기판 (518) 또는 다른 타입의 기판은, 기판 지지부 (516) 상에 배치될 수도 있다.
기판 프로세싱 시스템 (510) 은 가스 전달 시스템 (520) 을 포함한다. 단지 예를 들면, 가스 전달 시스템 (520) 은 하나 이상의 가스 소스들 (522-1, 522-2, ..., 및 522-N) (집합적으로 가스 소스들 (522)) (여기서 N은 0보다 큰 정수임), 밸브들 (524-1, 524-2, ..., 및 524-N) (집합적으로 밸브들 (524)), 및 질량 유량 제어기들 (MFC) (526-1, 526-2, ..., 및 526-N) (집합적으로 MFC (526)) 을 포함할 수도 있다. 가스 전달 시스템 (520) 의 출력들은 매니폴드 (530) 내에서 혼합될 수도 있고 리모트 플라즈마 소스 및/또는 가스 분배 디바이스 (513) 로 전달될 수도 있다. 가스 전달 시스템 (520) 은 플라즈마 가스 화학물질을 공급한다.
제어기 (540) 는 온도, 압력, 등과 같은 프로세스 챔버 (512) 내의 동작 파라미터들을 모니터링하는 하나 이상의 센서들 (541) 에 연결될 수도 있다. 히터 (542) 는 필요에 따라 기판 지지부 (516) 및 기판 (518) 을 가열하도록 제공될 수도 있다. 밸브 (550) 및 펌프 (552) 는 프로세싱 챔버 (512) 로부터 가스를 배출하도록 제공될 수도 있다.
단지 예를 들면, 플라즈마 생성기 (556) 가 제공될 수도 있다. 일부 예들에서, 플라즈마 생성기 (556) 는 다운스트림 플라즈마 소스이다. 플라즈마 생성기 (556) 는 리모트 플라즈마를 생성하도록 플라즈마 튜브, 유도 코일 또는 또 다른 디바이스를 포함할 수도 있다. 단지 예를 들면, 플라즈마 생성기 (556) 는 상기에 식별된 가스 화학물질을 사용하여 리모트 플라즈마를 생성하도록 무선 주파수 (RF) 또는 마이크로파 전력을 사용할 수도 있다. 일부 예들에서, 유도 코일은 샤워헤드의 상부 스템 부분 둘레에 감기고 RF 소스 및 매칭 네트워크에 의해 생성된 RF 신호에 의해 여기된다. 스템 부분을 통해 흐르는 반응성 가스는 유도 코일을 통과하는 RF 신호에 의해 플라즈마 상태로 여기된다.
제어기 (540) 는 가스 전달 시스템 (520), 히터 (542), 밸브 (550), 펌프 (552), 및 리모트 플라즈마 생성기 (556) 에 의해 생성된 플라즈마를 제어하도록 사용될 수도 있다.
이제 도 6을 참조하면, 결합된 스핀 코팅 및 플라즈마 프로세싱 챔버 (610) 가 도시된다. 결합된 스핀 코팅 및 플라즈마 프로세싱 챔버 (610) 는 습식 에칭 또는 습식 세정, 습식 린싱, 선택가능한 전이 용매의 도포, 희생 브레이싱 재료를 포함하는 용매의 도포, 및 플라즈마의 생성을 제어하도록 구성된 제어기 (620) 를 포함한다.
보다 구체적으로, 제어기 (620) 는 습식 에칭 용액 또는 세정 용액 또는 습식 린싱 용액을 기판으로 전달한다. 그 후, 제어기 (620) 는 희생 브레이싱 재료를 포함하는 용매 (또는 선택가능한 전이 용매 및 이어서 희생 브레이싱 재료를 포함하는 용매) 를 전달한다. 유체 전달 동안 또는 유체 전달 후에, 제어기는 기판 상에 유체를 스핀-코팅하도록 모터 (412) 를 사용하여 기판 지지부 (408) 를 회전시킬 수도 있다. 기판 지지부 (408) 의 회전은 또한 과잉 용매/희생 브레이싱 재료를 스핀 오프할 수도 있다.
도포 후에, HAR 구조체들을 지지하는 희생 브레이싱 재료를 남기면서 용매는 기화한다. 후속하여, 제어기 (620) 는, HAR 구조체들에 대미지를 주지 않고 희생 브레이싱 재료를 제거하고 HAR 구조체들을 건조하기 위해 플라즈마를 생성하도록 가스 전달 시스템 (520) 및 플라즈마 생성기 (556) 를 제어한다. 플라즈마를 포함하는 희생 브레이싱 재료의 제거의 예가 도시되고 기술되지만, 희생 브레이싱 재료는 대신 오존을 사용하여 제거될 수도 있다.
일부 예들에서, 희생 브레이싱 재료는 폴리머 컴포넌트 및 나노입자 컴포넌트를 포함한다. 희생 브레이싱 재료는 상기 기술된 바와 같이 용매를 통해 전달된다. 단지 예를 들면, 적합한 용매들은 유기 용매들 및 탈이온수를 포함하지만, 다른 용매들이 사용될 수도 있다. 나노입자들을 포함하는 희생 브레이싱 재료는 열적으로 안정할 수도 있고 보다 높은 애싱 온도들을 인에이블하여, 보다 높은 쓰루풋을 인에이블할 수도 있다.
나노입자 컴포넌트의 예들은 이로 제한되는 것은 아니지만, 나노입자들 또는 탄소계 나노입자들 (예를 들어, 풀러렌 또는 풀러올) 을 포함하는 유기 폴리머들, 유기 입자들, 라텍스들, 및 무기 나노입자들 (예를 들어, SiO2) 을 포함한다. 일부 예들에서, 사용된 나노입자 재료의 최대 크기는 12 ㎚ 미만, 10 ㎚ 미만, 또는 8 ㎚ 미만이다. 일부 예들에서, 나노입자 재료의 최대 크기는 인접한 HAR 구조체들 간의 (예를 들어, 최소) 거리의 1/2 이하이다. 일부 예들에서, 나노입자 재료는 플라즈마 화학반응을 사용하여 휘발될 수 있다.
폴리머의 양들은 저 분자량 폴리머들 또는 올리고머들을 포함한다. 저 분자량 폴리머들은 15,000 g/mol 미만, 10,000 g/mol 미만, 8,000 g/mol 미만, 5,000 g/mol 미만, 3,000 g/mol 미만, 2,000 g/mol 미만, 또는 1,000 g/mol 미만의 분자량을 갖는 폴리머들을 지칭할 수도 있다. 일부 예들에서, 대략 600 g/mol의 분자량을 갖는 폴리머들이 사용될 수도 있다. 일부 예들에서, 폴리머의 최대 크기는 인접한 HAR 구조체들 간의 (예를 들어, 최소) 거리의 1/2 이하이다. 일부 예들에서, 폴리머는 플라즈마 화학반응을 사용하여 휘발될 수 있다.
일부 예들에서, 희생 브레이싱 재료는 나노입자 재료에 비해 폴리머-풍부일 수도 있다. 일부 예들에서, 폴리머-풍부는 1:1 이상, 2:1 이상, 3:1 이상, 5:1 이상, 10:1 이상, 25:1 이상, 또는 50:1 이상의 폴리머 대 나노입자 재료의 중량비로 참조될 수도 있다. 일부 예들에서, 용매에 대한 고체의 중량비는 0.40 이하, 0.25 이하, 0.2 이하, 0.15 이하, 0.1 이하, 또는 0.05 이하일 수도 있다. 고체는 폴리머성 고체 및 나노입자 고체를 포함할 수도 있다. 상기 언급된 바와 같이, 용매들의 예들은 유기 용매들 및 물을 포함할 수도 있다.
희생 브레이싱 재료는 또한, 표면 장력을 하강시키고 그리고/또는 HAR 구조체들 간의 공간들의 충진 및 HAR 구조체들의 코팅 균일성을 개선하도록 하나 이상의 계면활성제들을 포함할 수도 있다. 사용된 계면활성제는 혼화성, 플라즈마를 사용한 제거 능력, 및 표면 장력 효과에 기초하여 선택될 수도 있다.
희생 브레이싱 재료의 플라즈마 제거 동안 발생하는 고온 및 발열성 반응들은 희생 브레이싱 재료의 유리 전이를 유도할 수도 있다. 이 유리 전이는 용해물의 형성을 유발할 수도 있다. 용해물은 액체와 같이 거동할 수도 있고 희생 브레이싱 재료의 제거 동안 HAR 구조체 붕괴를 유도할 수 있다. 붕괴는 복잡한, 교차결합 브레이싱 재료를 설계함으로써 그리고/또는 브레이싱 재료 제거 동안 온도를 제한함으로써 방지될 수 있다. 그러나, 이는 브레이싱 재료의 제거가 완료하는데 시간이 오래 걸릴 수도 있기 때문에 프로세싱 쓰루풋을 감소시킬 수도 있다.
나노입자 재료를 첨가하는 것은 희생 브레이싱 재료의 유리 전이 온도를 상승시킨다. 보다 높은 유리 전이 온도 및 상승된 열 안정성을 갖는 희생 브레이싱 재료들은 프로세싱 쓰루풋을 향상시키는데 바람직하다. 폴리머 및 나노입자 재료 양자를 포함하는 희생 브레이싱 재료의 유리 전이 온도는 나노입자 재료를 포함하지 않는 희생 브레이싱 재료의 유리 전이 온도보다 높다. 나노입자 재료는 입자 표면들과 폴리머의 상호작용들로 인해 희생 브레이싱 재료들의 유리 전이 온도를 상승시키고, 이는 폴리머 연쇄 역학을 늦춘다. 유리 전이 온도를 상승시키는 것은 보다 높은 온도들로 하여금 희생 브레이싱 재료의 플라즈마 제거에 사용되게 하여, 플라즈마 제거 레이트를 상승시키고 프로세싱 시간을 감소시킨다.
나노입자 재료는 또한 HAR 구조체들의 벽 상에 부착되고 마찰을 방지할 수도 있다. 용매로부터 침전되는 일부 재료들 (예를 들어, 실리케이트들) 은 화학적 결합, 예컨대 실리카 브리지를 형성할 수도 있다. 나노입자 재료는 보다 큰 크기들을 갖는 나노입자 재료로 인해 이러한 화학적 결합/브리지 형성을 방지할 수도 있다. 따라서 나노입자들은 마찰을 감소시키거나 방지할 수도 있다.
단지 예를 들면, 희생 브레이싱 재료를 제공하는 용액은 폴리머보다 높은 유리 전이 온도를 갖고 10 wt%의 폴리아크릴아미드 (폴리머), 1 wt%의 풀러올들 (나노입자 재료), 0.2 wt%의 암모늄 도데실 설페이트 (계면활성제), 및 탈이온수 (용매) 를 포함한다. 또 다른 예에서, 희생 브레이싱 재료를 제공하는 용액은 폴리머보다 높은 유리 전이 온도를 갖고 10 wt%의 폴리아크릴아미드 (폴리머), 0.2 wt%의 풀러올들 (나노입자 재료), 0.2 wt%의 암모늄 도데실 설페이트 (계면활성제), 및 탈이온수 (용매) 를 포함한다. 상기 언급된 바와 같이, 합성물의 컴포넌트들의 중량비들은 발생되는 희생 브레이싱 재료들의 충진, 코팅 균일성, 막 두께, 및 목표된 온도 특성들을 최적화하도록 선택되고/조정될 수 있다. 일부 폴리머-풍부 예들에서, 용액은 최대 20 wt%의 폴리머, 최대 10 wt%의 나노입자, 최대 5 wt%의 계면활성제, 그리고 나머지는 용매를 포함할 수도 있다.
이제 도 7a를 참조하면, 기판의 예시적인 부분은 나노입자 재료를 포함하지 않는 희생 브레이싱 재료 (704) 를 포함한다. 희생 브레이싱 재료 (704) 는 플라즈마 제거 동안 유리 전이를 겪을 수도 있고 용해물을 형성할 수도 있다. 용해물은 희생 브레이싱 재료 (704) 가 제거될 때 HAR 구조체 붕괴를 유도할 수도 있다. 부가적으로, 브리징 또는 화학적 결합이 인접한 HAR 구조체들 간에 발생할 수도 있다.
이제 도 7b를 참조하면, 희생 브레이싱 재료 (708) 를 포함하는 기판의 예시적인 부분이 나노입자 재료를 포함한다. 단지 예를 들면, C60-풀러올 (나노입자 재료) 및 폴리아크릴아미드 (PAM) 폴리머가 사용될 수도 있다. 나노입자 재료는 발생되는 희생 브레이싱 재료의 유리 전이 온도를 상승시킨다. 따라서 보다 높은 온도들이 희생 브레이싱 재료 (708) 의 플라즈마 제거 동안 사용될 수도 있다. 부가적으로, 나노입자 재료는 인접한 HAR 구조체들 간의 화학적 결합/브리징을 최소화 또는 방지함으로써 마찰을 방지할 수도 있다.
이제 도 8을 참조하면, 희생적 브레이스들의 유리 전이 온도를 상승시키는 것은 또한 보다 낮은 유리 전이 온도 (Tg) 를 갖는 폴리머들이 사용되게 할 수도 있다. 따라서 보다 저렴한 합성물 조제를 위한 부가적인 옵션들이 이용가능할 수도 있고, 여전히 붕괴 없는 건조를 생성할 수도 있다. 단지 예를 들면, 상이한 타입들의 폴리머들에 대한 유리 전이 온도들은 풀러렌 나노입자 중량 분율 (weight fraction) 의 함수로서 나타낸다. 도 8은 나노입자 재료의 양 및 타입에 기초하여 상이한 폴리머들의 사용을 예시한다.
일부 타입들의 나노입자 재료들은 HAR 구조체들 사이의 공간들을 부분적으로만 충진할 수도 있고 인접한 HAR 구조체들 사이에 보이드들 (충진되지 않은 영역들) 을 남길 수도 있다. HAR 구조체들 사이의 공간들의 부분적인 충진은 HAR 구조체들에 응력을 줄 수도 있다. 일부 경우들에서, 어닐링은 희생 브레이싱 재료가 보이드들을 충진하는 것을 도울 수도 있고 HAR 구조체들에 대한 응력을 감소시킬 수도 있다. 다른 경우들에서, 희생 브레이싱 재료는 최대 허용가능한 디바이스 처리 온도보다 낮은 온도들에서 유리 전이 또는 상 전이를 겪지 않을 수도 있다. 이와 같이, 어닐링은 희생 브레이싱 재료가 제거 전에 보이드들을 충진하는 것을 돕지 않을 수도 있다.
일부 예들에서, 희생 브레이싱 재료는 폴리머에 비해 나노입자-풍부일 수도 있다. 일부 예들에서, 나노입자-풍부는 나노입자 재료 대 폴리머의 중량비가 1:1 이상, 1.05:1 이상, 1.1:1 초과, 1.2:1 이상, 1.5:1 이상, 2:1 이상을 참조한다. 일부 예들에서, 용매에 대한 고체의 중량비는 0.40 이하, 0.25 이하, 0.2 이하, 0.15 이하, 0.1 이하, 또는 0.05 이하일 수도 있다. 고체는 폴리머성 고체 및 나노입자 재료 고체를 포함할 수도 있다. 상기 언급된 바와 같이, 용매들의 예들은 유기 용매들 및 물을 포함할 수도 있다.
희생 브레이싱 재료는 또한 표면 장력을 하강시키고 그리고/또는 HAR 구조체들 사이의 공간들의 충진 및 HAR 구조체들의 코팅 균일성을 개선하기 위해 하나 이상의 계면활성제들을 포함할 수도 있다. 상기 언급된 바와 같이, 사용된 계면활성제는 혼화성, 플라즈마를 사용한 제거 능력, 및 표면 장력 효과에 기초하여 선택될 수도 있다.
폴리머는 액체 또는 고체일 수도 있고 희생 브레이싱 재료의 유동성을 개선한다. 폴리머는 유기계 폴리머, 유기계 올리고머, 하나 이상의 유기 분자들, 및/또는 이온성 액체들을 포함할 수도 있다. 일부 예들에서, 폴리머는 플라즈마 화학반응을 사용하여 휘발될 수 있다. 일부 예들에서, 폴리머는 나노입자 재료를 갖는 용매에 혼화될 수 있다.
보다 우수한 충진을 위해 상승된 유동성을 갖는 희생 브레이싱 재료를 제공하는 용액의 예는 7 wt%의 풀러올 (나노입자들), 15000 g/mol보다 작은 분자량을 갖는 5 wt%의 폴리아크릴아미드 (폴리머), 0.2 wt%의 암모늄 도데실 설페이트 (계면활성제), 그리고 나머지는 탈이온수 (용매) 를 포함한다. 보다 우수한 충진을 위해 상승된 유동성을 갖는 희생 브레이싱 재료를 제공하는 또 다른 예시적인 용액은 7 wt%의 풀러올 (나노입자 재료), 1000 g/mol보다 작은 분자량을 갖는 3 wt%의 폴리에틸렌 글리콜 (폴리머), 0.2 wt%의 암모늄 도데실 설페이트 (계면활성제), 및 탈이온수 (용매) 를 포함한다. 일부 나노입자-풍부 예들에서, 용액은 최대 10 wt%의 폴리머, 최대 20 wt%의 나노입자, 최대 5 wt%의 계면활성제, 그리고 나머지는 용매를 포함할 수도 있다. 구체적인 예들이 제공되지만, 중량비들 또는 재료들은 충진, 코팅 균일성, 막 두께, 및 합성물 희생 브레이싱 재료의 목표된 열적 특성들을 최적화하도록 조정될 수 있다.
이제 도 9a 및 도 9b를 참조하면, 희생 브레이싱 재료의 예가 도시된다. 도 9a에서, 어닐링 전의 2 개의 필라들 (216), 2 개의 필라들 (216) 사이의 공간 (220), 및 희생 브레이싱 재료 (904) 를 포함하는 기판의 일부가 도시된다. 도 9b에서, 어닐링 후에 2 개의 필라들 (216), 필라들 (216) 사이의 공간 (220), 및 희생 브레이싱 재료 (904) 를 포함하는 기판의 일부가 도시된다.
도 9a 및 도 9b의 예들에서, 희생 브레이싱 재료 (904) 는 폴리머를 포함하지 않고 공간 (220) 을 부분적으로만 충진하여 기판 (212) 근방에 보이드 (908) 를 남긴다. 단지 예를 들면, 희생 브레이싱 재료 (904) 는 7 wt%의 풀러올 (나노입자 재료), 0.2 wt%의 암모늄 도데실 설페이트 (계면활성제), 및 탈이온수 (용매) 를 포함하는 예시적인 용액으로부터 발생할 수도 있다. 최대 허용가능한 디바이스 처리 온도까지의 온도들로 어닐링을 수행함에도 불구하고, 희생 브레이싱 재료 (904) 는 어닐링 동안 유리 전이를 겪지 않는다. 따라서, 어닐링 후에 보이드 (908) 가 남는다.
이제 도 10a 및 도 10b를 참조하면, 희생 브레이싱 재료의 또 다른 예가 도시된다. 도 10a에서, 어닐링 전의 필라들 (216), 필라들 (216) 사이의 공간 (220), 및 희생 브레이싱 재료 (1004) 를 포함하는 기판의 일부가 도시된다. 도 10b에서, 어닐링 후에 필라들 (216), 필라들 (216) 사이의 공간 (220), 및 희생 브레이싱 재료 (1004) 를 포함하는 기판의 일부가 도시된다. 도 10a 및 도 10b의 예들에서, 희생 브레이싱 재료 (1004) 는 폴리머를 포함한다. 희생 브레이싱 재료 (1004) 는 또한 계면활성제를 포함할 수도 있다. 단지 예를 들면, 희생 브레이싱 재료 (1004) 는 7 wt%의 풀러올 (나노입자 재료), 0.2 wt%의 암모늄 도데실 설페이트 (계면활성제), 3 wt%의 폴리 에틸렌 글리콜 (폴리머), 및 탈이온수 (용매) 를 포함하는 예시적인 용액으로부터 발생할 수도 있다.
도 10a에서, 희생 브레이싱 재료 (1004) 는 공간 (220) 을 부분적으로만 충진할 수도 있고, 이는 기판 (212) 근방에 보이드 (1008) 를 남긴다. 도 10b에서, 희생 브레이싱 재료 (1004) 가 공간 (220) 내로 흐르고 보이드 (1008) 충진한 후가 도시된다. 희생 브레이싱 재료 (1004) 는 공간 (220) 내로 흐르고 어닐링을 사용하거나 사용하지 않고 보이드 (1008) 를 충진할 수도 있다. 보이드 (1008) 내로 흐르고 보이드 (1008) 를 충진하는 희생 브레이싱 재료 (1004) 는 희생 브레이싱 재료 (1004) 의 완전한 제거 또는 부분적인 제거 후에 HAR 구조체의 붕괴를 방지하는 것을 돕는다.
이제 도 11a를 참조하면, 복수의 필라들 (216) 및 필라들 (216) 간의 공간들 (220) 을 포함하는 기판이 도시된다. 도 11a에서, 폴리머를 포함하지 않는 희생 브레이싱 재료가 사용되었다. 단지 예를 들면, 도 11a의 희생 브레이싱 재료는 7 wt%의 풀러올 (나노입자 재료), 0.2 wt%의 암모늄 도데실 설페이트 (계면활성제), 및 탈이온수 (용매) 를 포함하는 예시적인 용액으로부터 발생할 수도 있다. 도시된 바와 같이, 필라들 (216) 은 어닐링 후에도, 인접한 필러들 간의 보이드들로 인해 서로를 향하여 인출될 수도 있다.
이제 도 11b를 참조하면, 기판은 복수의 필라들 (216) 및 필라들 (216) 간의 공간들 (220) 을 포함한다. 도 11b에서, 폴리머를 포함하는 희생 브레이싱 재료가 사용되었고 어닐링이 수행되었다. 단지 예를 들면, 도 11b의 희생 브레이싱 재료는 7 wt%의 풀러올 (나노입자 재료), 0.2 wt%의 암모늄 도데실 설페이트 (계면활성제), 3 wt%의 폴리 에틸렌 글리콜 (폴리머) 분자량 600 g/mol, 및 탈이온수 (용매) 를 포함하는 예시적인 용액으로부터 발생될 수도 있다. 도시된 바와 같이, 필라들 (216) 은 도 11a의 예보다 적게 서로를 향해 인출된다.
일 특징에서, 복수의 고 종횡비 (HAR) 구조체들을 포함하는 기판을 건조하기 위한 방법이 기술된다. 방법은 (a) 습식 에칭 용액, 및 (b) 습식 세정 용액, 및 (c) 습식 린싱 용액 중 적어도 하나를 각각 사용하는 기판의 (i) 습식 에칭, 및 (ii) 습식 세정, 및 (iii) 습식 린싱 중 적어도 하나 후에, 그리고 기판을 건조하지 않고, 폴리머 컴포넌트, 나노입자 컴포넌트, 및 용매를 포함하는 용액을 복수의 HAR 구조체들 사이에 증착하는 단계로서, 용매가 기화될 때, 희생 브레이싱 재료가 용액으로부터 침전되고 복수의 HAR 구조체들을 적어도 부분적으로 충진하고, 희생 브레이싱 재료는 (i) 용액의 폴리머 컴포넌트로부터의 폴리머 재료 및 (ii) 용액의 나노입자 컴포넌트로부터의 나노입자 재료를 포함하는, 폴리머 컴포넌트, 나노입자 컴포넌트, 및 용매를 포함하는 용액을 복수의 HAR 구조체들 사이에 증착하는 단계; 및 희생 브레이싱 재료를 기화하기 위해 플라즈마 가스 화학작용을 사용하여 생성된 플라즈마에 기판을 노출시키는 단계를 포함한다.
다른 특징들에서, 용액의 나노입자 컴포넌트 대 용액의 폴리머 컴포넌트의 중량비는 1:1 이상이다. 다른 특징들에서, 용액은 용매에 대하여 0.4 이하의 중량 분율의 고체를 포함한다. 다른 특징들에서, 용액은 15,000 g/mol 미만의 분자량을 갖는 5 중량%의 폴리아크릴아미드, 7 중량%의 풀러올, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함한다. 다른 특징들에서, 용액은 7 중량%의 풀러올, 1000 g/mol 미만의 분자량을 갖는 3 중량%의 폴리에틸렌 글리콜, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함한다. 다른 특징들에서, 용액의 나노입자 컴포넌트 대 용액의 폴리머 컴포넌트의 중량비는 1.2:1 이상이다. 다른 특징들에서, 용액은 계면활성제를 포함한다. 다른 특징들에서, 나노입자 재료의 최대 크기는 복수의 HAR 구조체들 중 2 개의 인접한 HAR 구조체들 간의 거리의 1/2 미만이다. 다른 특징들에서, 나노입자 재료의 최대 크기는 20 ㎚ 미만이다. 다른 특징들에서, 폴리머 재료의 최대 크기는 복수의 HAR 구조체들 중 2 개의 인접한 HAR 구조체들 간의 거리의 1/2 미만이다. 다른 특징들에서, 폴리머 재료의 최대 크기는 20 ㎚ 미만이다. 다른 특징들에서, 폴리머 재료의 분자량은 15,000 g/mol 미만이다. 다른 특징들에서, 용액의 폴리머 컴포넌트 대 용액의 나노입자 컴포넌트의 중량비는 5:1 이상이다. 다른 특징들에서, 용액의 폴리머 컴포넌트 대 용액의 나노입자 컴포넌트의 중량비는 10:1 이상이다. 다른 특징들에서, 용액의 폴리머 컴포넌트 대 용액의 나노입자 컴포넌트의 중량비는 1:1 이상이다. 다른 특징들에서, 용액은 용매에 대하여 0.4 이하의 중량 분율의 고체를 포함한다. 다른 특징들에서, 용액은 10 중량%의 폴리아크릴아미드, 0.2 중량%의 풀러올, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함한다. 다른 특징들에서, 용액은 1 중량%의 풀러올, 10 중량%의 폴리아크릴아미드, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함한다. 다른 특징들에서, 폴리머 재료의 제 1 유리 전이 온도는 희생 브레이싱 재료의 제 2 유리 전이 온도보다 낮다. 다른 특징들에서, 플라즈마는 다운스트림 플라즈마이다.
일 특징에서, 복수의 고 종횡비 (HAR) 구조체들을 포함하는 기판을 건조하기 위한 시스템이 기술된다. 시스템은, 프로세싱 챔버; 프로세싱 챔버 내에 배치된 기판 지지부; 프로세싱 챔버로 가스 혼합물을 전달하기 위한 가스 전달 시스템; 기판으로 용액을 전달하도록 구성된 유체 전달 시스템; 프로세싱 챔버 내에서 플라즈마를 생성하도록 구성된 플라즈마 생성기; 및 유체 전달 시스템, 가스 전달 시스템 및 플라즈마 생성기와 통신하는 제어기를 포함한다. 제어기는 (a) 습식 에칭 용액, 또는 (b) 습식 세정 용액, 또는 (c) 습식 린싱 용액 중 적어도 하나를 각각 사용하는 기판의 (i) 습식 에칭, 및 (ii) 습식 세정, 및 (iii) 습식 린싱 중 적어도 하나 후에, 그리고 기판을 건조하지 않고, 폴리머 컴포넌트, 나노입자 컴포넌트, 및 용매를 포함하는 용액을 복수의 HAR 구조체들 사이에 증착하는 동작 및 희생 브레이싱 재료를 기화하기 위해 플라즈마 가스 화학작용을 사용하여 생성된 플라즈마에 기판을 노출시키는 동작을 위해 구성된다. 용매가 기화될 때, 희생 브레이싱 재료가 용액으로부터 침전되고 복수의 HAR 구조체들을 적어도 부분적으로 충진하고, 희생 브레이싱 재료는 (i) 용액의 폴리머 컴포넌트로부터의 폴리머 재료 및 (ii) 용액의 나노입자 컴포넌트로부터의 나노입자 재료를 포함한다.
다른 특징들에서, 용액의 나노입자 컴포넌트 대 용액의 폴리머 컴포넌트의 중량비는 1:1 이상이다. 다른 특징들에서, 용액은 용매에 대하여 0.4 이하의 중량 분율의 고체를 포함한다. 다른 특징들에서, 용액은 15,000 g/mol 미만의 분자량을 갖는 5 중량%의 폴리아크릴아미드, 7 중량%의 풀러올, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함한다. 다른 특징들에서, 용액은 7 중량%의 풀러올, 1000 g/mol 미만의 분자량을 갖는 3 중량%의 폴리에틸렌 글리콜, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함한다. 다른 특징들에서, 용액의 나노입자 컴포넌트 대 용액의 폴리머 컴포넌트의 중량비는 1.2:1 이상이다. 다른 특징들에서, 용액은 계면활성제를 포함한다. 다른 특징들에서, 나노입자 재료의 최대 크기는 복수의 HAR 구조체들 중 2 개의 인접한 HAR 구조체들 간의 거리의 1/2 미만이다. 다른 특징들에서, 나노입자 재료의 최대 크기는 20 ㎚ 미만이다. 다른 특징들에서, 폴리머 재료의 최대 크기는 복수의 HAR 구조체들 중 2 개의 인접한 HAR 구조체들 간의 거리의 1/2 미만이다. 다른 특징들에서, 폴리머 재료의 최대 크기는 20 ㎚ 미만이다. 다른 특징들에서, 폴리머 재료의 분자량은 15,000 g/mol 미만이다. 다른 특징들에서, 용액의 폴리머 컴포넌트 대 용액의 나노입자 컴포넌트의 중량비는 5:1 이상이다. 다른 특징들에서, 용액의 폴리머 컴포넌트 대 용액의 나노입자 컴포넌트의 중량비는 10:1 이상이다. 다른 특징들에서, 용액의 폴리머 컴포넌트 대 용액의 나노입자 컴포넌트의 중량비는 1:1 이상이다. 용액은 용매에 대하여 0.4 이하의 중량 분율의 고체를 포함한다. 다른 특징들에서, 용액은 10 중량%의 폴리아크릴아미드, 0.2 중량%의 풀러올, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함한다. 다른 특징들에서, 용액은 1 중량%의 풀러올, 10 중량%의 폴리아크릴아미드, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함한다. 다른 특징들에서, 폴리머 재료의 제 1 유리 전이 온도는 희생 브레이싱 재료의 제 2 유리 전이 온도보다 낮다. 다른 특징들에서, 플라즈마 생성기는 프로세싱 챔버 내에서 다운스트림 플라즈마를 생성하도록 구성된다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 플라즈마 스트립 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (40)

  1. 복수의 고 종횡비 (HAR: HIGH ASPECT RATIO) 구조체들을 포함하는 기판을 건조하기 위한 방법에 있어서,
    상기 방법은,
    (a) 습식 에칭 용액, 및 (b) 습식 세정 용액, 및 (c) 습식 린싱 용액 중 적어도 하나를 각각 사용하는 상기 기판의 (i) 습식 에칭, 및 (ii) 습식 세정, 및 (iii) 습식 린싱 중 적어도 하나 후에, 그리고 상기 기판을 건조하지 않고:
    폴리머 컴포넌트, 나노입자 컴포넌트, 및 용매를 포함하는 용액을 상기 복수의 HAR 구조체들 사이에 증착하는 단계; 및
    상기 용매가 기화될 때, 희생 브레이싱 재료 (sacrificial bracing material) 가 상기 용액으로부터 침전되고 상기 복수의 HAR 구조체들을 적어도 부분적으로 충진하여, 상기 희생 브레이싱 재료를 기화하기 위해 플라즈마 가스 화학작용을 사용하여 생성된 플라즈마에 상기 기판을 노출시키는 단계를 포함하고,
    상기 희생 브레이싱 재료는 (i) 상기 용액의 상기 폴리머 컴포넌트로부터의 폴리머 재료 및 (ii) 상기 용액의 상기 나노입자 컴포넌트로부터의 나노입자 재료를 포함하는, 상기 폴리머 컴포넌트, 상기 나노입자 컴포넌트, 및 상기 용매를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 용액의 상기 나노입자 컴포넌트 대 상기 용액의 상기 폴리머 컴포넌트의 중량비는 1:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  3. 제 2 항에 있어서,
    상기 용액은 용매에 대하여 0.4 이하의 중량 분율의 고체를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  4. 제 2 항에 있어서,
    상기 용액은 15,000 g/mol 미만의 분자량을 갖는 5 중량%의 폴리아크릴아미드, 7 중량%의 풀러올 (fullerol), 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  5. 제 2 항에 있어서,
    상기 용액은 7 중량%의 풀러올, 1000 g/mol 미만의 분자량을 갖는 3 중량%의 폴리에틸렌 글리콜, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 용액의 나노입자 컴포넌트 대 상기 용액의 상기 폴리머 컴포넌트의 중량비는 1.2:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 용액은 계면활성제를 더 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  8. 제 1 항에 있어서,
    나노입자 재료의 최대 크기는 상기 복수의 HAR 구조체들 중 2 개의 인접한 HAR 구조체들 간의 거리의 1/2 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  9. 제 8 항에 있어서,
    상기 나노입자 재료의 최대 크기는 20 ㎚ 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  10. 제 8 항에 있어서,
    폴리머 재료의 최대 크기는 상기 복수의 HAR 구조체들 중 2 개의 인접한 HAR 구조체들 간의 거리의 1/2 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  11. 제 10 항에 있어서,
    상기 폴리머 재료의 최대 크기는 20 ㎚ 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  12. 제 1 항에 있어서,
    상기 폴리머 재료의 분자량은 15,000 g/mol 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  13. 제 1 항에 있어서,
    상기 용액의 상기 폴리머 컴포넌트 대 상기 용액의 상기 나노입자 컴포넌트의 중량비는 5:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  14. 제 1 항에 있어서,
    상기 용액의 상기 폴리머 컴포넌트 대 상기 용액의 상기 나노입자 컴포넌트의 중량비는 10:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  15. 제 1 항에 있어서,
    상기 용액의 상기 폴리머 컴포넌트 대 상기 용액의 상기 나노입자 컴포넌트의 중량비는 1:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  16. 제 15 항에 있어서,
    상기 용액은 용매에 대하여 0.4 이하의 중량 분율의 고체를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  17. 제 15 항에 있어서,
    상기 용액은 10 중량%의 폴리아크릴아미드, 0.2 중량%의 풀러올, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  18. 제 15 항에 있어서,
    상기 용액은 1 중량%의 풀러올, 10 중량%의 폴리아크릴아미드, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  19. 제 15 항에 있어서,
    상기 폴리머 재료의 제 1 유리 전이 온도는 상기 희생 브레이싱 재료의 제 2 유리 전이 온도보다 낮은, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  20. 제 1 항에 있어서,
    상기 플라즈마는 다운스트림 플라즈마인, HAR 구조체들을 포함하는 기판을 건조하기 위한 방법.
  21. 복수의 고 종횡비 (HAR) 구조체들을 포함하는 기판을 건조하기 위한 시스템에 있어서,
    상기 시스템은,
    프로세싱 챔버;
    상기 프로세싱 챔버 내에 배치된 기판 지지부;
    상기 프로세싱 챔버로 가스 혼합물을 전달하기 위한 가스 전달 시스템;
    상기 기판으로 용액을 전달하도록 구성된 유체 전달 시스템;
    상기 프로세싱 챔버 내에서 플라즈마를 생성하도록 구성된 플라즈마 생성기;
    상기 유체 전달 시스템, 상기 가스 전달 시스템 및 상기 플라즈마 생성기와 통신하고,
    (a) 습식 에칭 용액, 또는 (b) 습식 세정 용액, 또는 (c) 습식 린싱 용액 중 적어도 하나를 각각 사용하는 상기 기판의 (i) 습식 에칭, 및 (ii) 습식 세정, 및 (iii) 습식 린싱 중 적어도 하나 후에, 그리고 상기 기판을 건조하지 않고:
    폴리머 컴포넌트, 나노입자 컴포넌트, 및 용매를 포함하는 용액을 상기 복수의 HAR 구조체들 사이에 증착하며,
    상기 용매가 기화될 때, 희생 브레이싱 재료가 상기 용액으로부터 침전되고 상기 복수의 HAR 구조체들을 적어도 부분적으로 충진하여, 상기 희생 브레이싱 재료를 기화하기 위해 플라즈마 가스 화학작용을 사용하여 생성된 플라즈마에 상기 기판을 노출시키도록 구성되는 제어기를 포함하고,
    상기 희생 브레이싱 재료는 (i) 상기 용액의 상기 폴리머 컴포넌트로부터의 폴리머 재료 및 (ii) 상기 용액의 상기 나노입자 컴포넌트로부터의 나노입자 재료를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  22. 제 21 항에 있어서,
    상기 용액의 상기 나노입자 컴포넌트 대 상기 용액의 상기 폴리머 컴포넌트의 중량비는 1:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  23. 제 22 항에 있어서,
    상기 용액은 용매에 대하여 0.4 이하의 중량 분율의 고체를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  24. 제 22 항에 있어서,
    상기 용액은 15,000 g/mol 미만의 분자량을 갖는 5 중량%의 폴리아크릴아미드, 7 중량%의 풀러올, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  25. 제 22 항에 있어서,
    상기 용액은 7 중량%의 풀러올, 1000 g/mol 미만의 분자량을 갖는 3 중량%의 폴리에틸렌 글리콜, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  26. 제 21 항에 있어서,
    상기 용액의 나노입자 컴포넌트 대 상기 용액의 상기 폴리머 컴포넌트의 중량비는 1.2:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  27. 제 21 항에 있어서,
    상기 용액은 계면활성제를 더 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  28. 제 21 항에 있어서,
    나노입자 재료의 최대 크기는 상기 복수의 HAR 구조체들 중 2 개의 인접한 HAR 구조체들 간의 거리의 1/2 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  29. 제 28 항에 있어서,
    상기 나노입자 재료의 최대 크기는 20 ㎚ 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  30. 제 28 항에 있어서,
    폴리머 재료의 최대 크기는 상기 복수의 HAR 구조체들 중 2 개의 인접한 HAR 구조체들 간의 거리의 1/2 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  31. 제 30 항에 있어서,
    상기 폴리머 재료의 최대 크기는 20 ㎚ 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  32. 제 21 항에 있어서,
    상기 폴리머 재료의 분자량은 15,000 g/mol 미만인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  33. 제 21 항에 있어서,
    상기 용액의 상기 폴리머 컴포넌트 대 상기 용액의 상기 나노입자 컴포넌트의 중량비는 5:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  34. 제 21 항에 있어서,
    상기 용액의 상기 폴리머 컴포넌트 대 상기 용액의 상기 나노입자 컴포넌트의 중량비는 10:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  35. 제 21 항에 있어서,
    상기 용액의 상기 폴리머 컴포넌트 대 상기 용액의 상기 나노입자 컴포넌트의 중량비는 1:1 이상인, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  36. 제 35 항에 있어서,
    상기 용액은 용매에 대하여 0.4 이하의 중량 분율의 고체를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  37. 제 35 항에 있어서,
    상기 용액은 10 중량%의 폴리아크릴아미드, 0.2 중량%의 풀러올, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  38. 제 35 항에 있어서,
    상기 용액은 1 중량%의 풀러올, 10 중량%의 폴리아크릴아미드, 0.2 중량%의 암모늄 도데실 설페이트, 그리고 나머지는 탈이온수를 포함하는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  39. 제 35 항에 있어서,
    상기 폴리머 재료의 제 1 유리 전이 온도는 상기 희생 브레이싱 재료의 제 2 유리 전이 온도보다 낮은, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
  40. 제 21 항에 있어서,
    상기 플라즈마 생성기는 상기 프로세싱 챔버 내에서 다운스트림 플라즈마를 생성하도록 구성되는, HAR 구조체들을 포함하는 기판을 건조하기 위한 시스템.
KR1020160070160A 2015-06-04 2016-06-07 고 종횡비 구조체들을 붕괴 없이 건조하기 위한 방법 KR102591277B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/730,457 US10008396B2 (en) 2014-10-06 2015-06-04 Method for collapse-free drying of high aspect ratio structures
US14/730,457 2015-06-04

Publications (2)

Publication Number Publication Date
KR20160143578A true KR20160143578A (ko) 2016-12-14
KR102591277B1 KR102591277B1 (ko) 2023-10-18

Family

ID=57575963

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160070160A KR102591277B1 (ko) 2015-06-04 2016-06-07 고 종횡비 구조체들을 붕괴 없이 건조하기 위한 방법

Country Status (2)

Country Link
KR (1) KR102591277B1 (ko)
TW (1) TW201712752A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180120606A (ko) * 2017-04-27 2018-11-06 신에쓰 가가꾸 고교 가부시끼가이샤 반도체 기판의 세정 건조 방법

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7227757B2 (ja) 2018-05-31 2023-02-22 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP2022149423A (ja) * 2021-03-25 2022-10-06 株式会社Screenホールディングス 基板処理方法及び基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013016699A (ja) * 2011-07-05 2013-01-24 Toshiba Corp 基板処理方法及び基板処理装置
KR20140148340A (ko) * 2013-06-21 2014-12-31 램 리써치 코포레이션 고 종횡비 구조물들의 무붕괴 건조 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013016699A (ja) * 2011-07-05 2013-01-24 Toshiba Corp 基板処理方法及び基板処理装置
KR20140148340A (ko) * 2013-06-21 2014-12-31 램 리써치 코포레이션 고 종횡비 구조물들의 무붕괴 건조 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180120606A (ko) * 2017-04-27 2018-11-06 신에쓰 가가꾸 고교 가부시끼가이샤 반도체 기판의 세정 건조 방법

Also Published As

Publication number Publication date
KR102591277B1 (ko) 2023-10-18
TW201712752A (zh) 2017-04-01

Similar Documents

Publication Publication Date Title
KR102525288B1 (ko) 수소-풍부 플라즈마를 사용하여 제거되는 희생적인 브레이싱 재료를 사용하여 붕괴 없이 고종횡비 구조체들을 건조시키기 위한 시스템들 및 방법들
US10008396B2 (en) Method for collapse-free drying of high aspect ratio structures
KR102450620B1 (ko) 최신식 메모리 디바이스들에 대한 유전체 보수
US9466511B2 (en) Systems and methods for drying high aspect ratio structures without collapse using stimuli-responsive sacrificial bracing material
JP4919871B2 (ja) エッチング方法、半導体装置の製造方法および記憶媒体
TW201832285A (zh) 不傷害下方基板下用以降低氮化矽膜之溼蝕刻速率的方法
KR101821056B1 (ko) 다중 패턴화 스킴에 대한 선택적 스페이서 에칭을 위한 방법 및 시스템
TW201802935A (zh) 使用原子層蝕刻與選擇性沉積以蝕刻基板
JP4999419B2 (ja) 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体
WO2015112289A1 (en) Methods for etching a dielectric barrier layer in a dual damascene structure
KR102591277B1 (ko) 고 종횡비 구조체들을 붕괴 없이 건조하기 위한 방법
TW201839849A (zh) 具有選擇性阻隔層的結構
US20100043821A1 (en) method of photoresist removal in the presence of a low-k dielectric layer
CN110783187B (zh) 等离子体处理方法和等离子体处理装置
WO2016009717A1 (ja) 基板洗浄方法、基板処理方法、基板処理システム、および半導体装置の製造方法
JP5888674B2 (ja) エッチング装置およびエッチング方法およびクリーニング装置
JP2021527952A (ja) 高アスペクト比構造の効率的な洗浄およびエッチング
CN115803846A (zh) 用于去除含氮化物膜的系统和方法
JP4067357B2 (ja) エッチング方法
TWI751326B (zh) 自對準通孔處理流程
TWI774754B (zh) 自對準觸點與閘極處理流程
TW202105490A (zh) 蝕刻停止層
JP5069982B2 (ja) 半導体装置の製造方法および半導体装置
TW202208657A (zh) 用於先進半導體應用的可膨脹摻雜氧化物膜
CN115428124A (zh) 芯部去除

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant