KR20140148340A - 고 종횡비 구조물들의 무붕괴 건조 방법 - Google Patents

고 종횡비 구조물들의 무붕괴 건조 방법 Download PDF

Info

Publication number
KR20140148340A
KR20140148340A KR1020140075801A KR20140075801A KR20140148340A KR 20140148340 A KR20140148340 A KR 20140148340A KR 1020140075801 A KR1020140075801 A KR 1020140075801A KR 20140075801 A KR20140075801 A KR 20140075801A KR 20140148340 A KR20140148340 A KR 20140148340A
Authority
KR
South Korea
Prior art keywords
etched layer
etched
solid
layer
drying
Prior art date
Application number
KR1020140075801A
Other languages
English (en)
Other versions
KR102269818B1 (ko
Inventor
스티번 엠. 시라르드
다이앤 하임즈
올리비에 포스텔
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140148340A publication Critical patent/KR20140148340A/ko
Application granted granted Critical
Publication of KR102269818B1 publication Critical patent/KR102269818B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

복수의 구조물들을 갖는 에칭된 층으로서 상기 복수의 구조물들 간에 에칭된 스페이스들이 존재하는 상기 에칭된 층을 건조하는 방법이 제공된다. 상기 에칭된 층 상의 상기 에칭된 스페이스들 내에 액체가 제공된다. 상기 액체가 용매를 갖는 건조 용액으로 대체된다. 상기 용액으로부터 고체를 형성하도록 상기 건조 용액으로부터 상기 용매 중 일부가 제거되며, 상기 고체는 상기 에칭된 고 종횡비 스페이스들의 높이의 적어도 절반을 충진한다. 상기 고체가 제거된다.

Description

고 종횡비 구조물들의 무붕괴 건조 방법{METHOD OF COLLAPSE-FREE DRYING OF HIGH ASPECT RATIO STRUCTURES}
본 발명은 반도체 디바이스들의 형성에 대한 것이다. 보다 구체적으로, 본 발명은 반도체 디바이스들의 형성 동안에 기판으로부터 액체들을 제거하기 위한 장치 또는 방법에 관한 것이다.
반도체 웨이퍼 프로세싱 동안에, 습식 프로세싱은 반도체 디바이스들로부터의 액체의 후속 제거를 요구한다.
반도체 디바이스들의 크기가 점점 작아짐에 따라서, 목표된 디바이스 성능을 달성하도록 보다 높은 종횡비 구조물들이 요구된다. 미세전자/반도체 디바이스들의 제조는 재료 증착, 평탄화, 피처 패터닝, 피처 에칭 및 피처 세정과 같은 다수의 프로세싱 단계들의 연속적인 플로우를 요구한다. 이렇게 보다 높은 종횡비 구조물들로 이동하면서 수많은 이러한 통상적인 제조 단계들에서 프로세싱 과제들이 생성된다. 통상적으로 프로세스 플로우의 약 25 퍼센트 이상을 차지하는 에칭 및 세정과 같은 습식 프로세스들은 건조 동안에 생성되는 모세관력들로 인해서 고 종횡비 피처들에 있어서 특히 과제가 발생한다. 이러한 모세관력들의 강도는 건조되는 에칭 유체, 세정 유체 또는 린스 유체의 표면 장력 및 접촉각 및 피처 스페이싱 및 피처 종횡비에 의존한다. 건조 동안에 생성된 이러한 모세관력이 너무 높으면, 고 종횡비 피처들은 서로 상으로 붕괴되고 스틱션 (stiction) 이 발생할 수 있다. 피처 붕괴 및 스틱션은 디바이스 수율을 심각하게 저하시킨다.
본 발명의 목적에 따라서 그리고 전술한 바를 달성하기 위해서, 복수의 구조물들을 갖는 에칭된 층으로서 상기 복수의 구조물들 간에 에칭된 스페이스들이 존재하는 상기 에칭된 층을 건조하는 방법이 제공된다. 상기 에칭된 층 상의 상기 에칭된 스페이스들 내에 액체가 제공된다. 상기 액체가 용매를 갖는 건조 용액으로 대체된다. 상기 용액으로부터 고체를 형성하도록 상기 건조 용액으로부터 상기 용매 중 일부가 제거되며, 상기 고체는 상기 에칭된 고 종횡비 스페이스들의 높이의 적어도 절반을 충진한다. 상기 고체가 제거된다.
본 발명의 다른 양태에서, 습한 기판 (wet substrate) 을 건조하는 장치가 제공된다. 상기 습한 기판을 수용하기 위한 챔버가 제공된다. 상기 습한 기판을 상기 챔버 내로 습식 전달 스테이션이 전달한다. 상기 챔버 내에는 상기 습한 기판을 지지하고 정전 방식으로 클램핑하기 위한 정전 척 (ESC) 이 존재한다. 브레이싱 건조 용액 소스 (bracing drying solution source) 가 제공된다. 브레이싱 건조 용액 소스 분사기가 브레이싱 건조 용액을 상기 브레이싱 건조 용액 소스로부터 상기 습한 기판으로 분사한다. 상기 습한 기판을 회전하기 위한 모터가 제공된다. 하류 플라즈마 (downstream plasma) 를 상기 챔버 내로 제공하기 위한 하류 플라즈마 소스가 포함된다. 온도 제어기가 상기 습한 기판의 온도를 제어한다. 상기 챔버와 진공 펌프가 유체 연통한다.
본 발명의 이러한 특징들 및 다른 특징들은 다음의 도면들과 함께 본 발명의 다음의 상세한 설명 부분에서 이하에서 보다 상세하게 기술될 것이다.
본 발명은 한정적이 아니라 예시적으로 첨부 도면의 도면들에서 예시되며, 도면들에서 유사한 참조 부호들은 유사한 요소들을 나타낸다.
도 1은 본 발명의 실시예의 하이 레벨 흐름도이다.
도 2a 내지 도 2f는 본 발명의 실시예에 따라 프로세싱된 스택의 개략적 단면도들이다.
도 3은 본 발명의 실시예에서 사용되는 건조 디바이스의 개략적 예시도이다.
도 4는 본 발명의 실시예들에서 사용되는 제어기를 구현하는데 적합한 컴퓨터 시스템을 예시한다.
본 발명이 이제 첨부 도면들에서 예시된 바와 같은 본 발명의 몇몇 바람직한 실시예들을 참조하여서 세부적으로 기술될 것이다. 다음의 설명에서, 다수의 특정 세부사항들이 본 발명의 철저한 이해를 제공하기 위해서 제시된다. 그러나, 본 발명은 이러한 특정 세부사항 전부 또는 일부 없이도 실시될 수 있음이 본 기술 분야의 당업자에게 자명하다. 다른 실례에서, 잘 알려진 프로세스 단계들 및/또는 구조들은 본 발명을 불필요하게 모호하게 하지 않도록 하기 위해서 세부적으로는 기술되지 않았다.
현 기술 또는 종래 기술에서, 탈이온수보다 낮은 표면 장력을 갖는 선택적인 린싱 액체들이 피처 붕괴를 방지하기 위해서 사용되었다. 이러한 방법이 낮은 종횡비의 구조물들에 대해서는 성공적이었지만, 이 방법은 보다 높은 종횡비 및 보다 작은 피처 스페이싱에서는 탈이온수와 동일한 붕괴 및 스틱션 문제를 겪는다. 이러한 실패는 이러한 낮은 표면 장력 유체들은 취성 피처들 (fragile features) 에 대해서는 너무 강한 힘들을 건조 동안에 생성하는 제한된 표면 장력을 여전히 보유하고 있다는 사실에 기인한다. 고 종횡비 구조물을 건조하기 위한 다른 방법은 초임계 유체 (supercritical fluid) 를 사용하여서 린싱 유체를 용해 및 플러싱 (flush) 하는 것이다. 초음계 유체는 일반적으로 표면 장력을 가지고 있지 말아야 하며 이로써 피처 붕괴를 야기하는 모세관력들을 제거한다. 초임계 유체의 이러한 장점에도 불구하고, 이러한 유체들을 사용할 시에는 몇몇 기술적인 과제 및 제조 상의 과제가 존재한다. 이러한 과제들은 높은 장비 및 안전 비용, 긴 프로세스 시간, 프로세스 동안 변하는 용매 품질, 이 유체의 확산 및 튜닝가능한 성질로 인한 심한 프로세스 민감도, 및 챔버 부품들과 유체의 상호반응으로부터 발생하는 웨이퍼 디펙트/오염 문제들을 포함한다. 고 종횡비 구조물의 붕괴를 방지하기 위한 다른 기법은 피처들을 지지하는 영구적인 주기적 기계적 브레이싱 (bracing) 구조물들을 증착하는 것이다. 이러한 기법에서는 처리량 및 수율에 부정적인 영향을 주는 프로세스 복잡도 및 고비용을 포함하는 몇몇 절충 사항들이 존재한다. 또한, 영구적 성질로 인해서, 주기적 브레이싱은 견고한 방식이 아닌데 그 이유는 그러한 브레이싱은 특정 타입의 구조물들로 한정되기 때문이다. 따라서, 반도체/미세전자 디바이스들로부터 액체들을 무손상 방식으로 제거하기 위한 대안적 방법들 및 시스템이 바람직하다.
실례
본 발명의 구현예에서, 마스크가 층 위에 형성된다 (단계 104). 이 실시예에서, 이 층은 실리콘 또는 금속 기반이다. 도 2a는 본 발명의 실시예에서 패터닝된 마스크 (208) 이 그 위에 제공된 층 (204) 을 갖는 스택 (200) 의 개략적 단면도이다. 본 실례에서, 층 (204) 은 Si, SiO2, SiN 또는 TiN과 같은 실리콘 기반 또는 금속 기반 층이다. 층 (204) 은 기판 (212) 위에 있을 수 있다. 하나 이상의 층들이 층 (204) 과 기판 (212) 간에 있을 수 있다. 다른 실시예들에서, 층 (204) 은 기판 (212) 의 일부분일 수 있다. 일 실례에서, 이 층은 실리콘 기반 실리콘 산화물 재료인 TEOS (tetraethyl orthosilicate) 이다.
층 (204) 은 마스크 (208) 를 통해서 에칭된다 (단계 108). 도 2b는 층 (204) 이 에칭된 후에, 적어도 10:1의 폭에 대한 높이의 종횡비를 갖는 복수의 고 종횡비 구조물들 (216) 및 이 복수의 고 종횡비 구조물들 (216) 간의 복수의 스페이스들 (220) 을 형성하는 스택 (200) 의 개략적 단면도이다. 본 실례에서, 건식 에칭이 층 (204) 을 에칭하는데 사용된다. 그러나, 다른 실시예들에서, 습식 에칭이 사용될 수 있다. 본 실례에서, 스페이스들은 30 내지 32 nm의 피처 폭에서 340 내지 342 nm 깊이까지 에칭된다.
마스크가 제거된다 (단계 112). 도 2c는 마스크가 제거된 후의 스택 (200) 의 개략적 단면도이다. 이 실시예에서, 마스크는 건식 프로세스에 의해서 제거될 수 있다. 예를 들어서, 애싱 프로세스 (ashing process) 가 마스크를 애싱함으로서 마스크를 제거하는데 사용될 수 있다. 다른 실시예에서, 습식 프로세스가 마스크를 제거하는데 사용될 수 있다.
이 층에는 액체가 제공된다 (단계 116). 도 2d는 액체 (224) 가 복수의 고 종횡비 구조물들 (216) 간의 스페이스들을 채우도록 액체 (224) 가 층 (204) 상에 제공된 스택 (200) 의 개략적 단면도이다. 액체 (224) 는 애싱 후에 세정 또는 린싱과 같은 습식 프로세스를 위해서 제공될 수 있다. 다른 실시예에서, 마스크 제거 (단계 112) 및 액체 (224) 제공 (단계 116) 이 동시에 발생하도록, 액체가 마스크를 제거하는데 사용될 수 있다. 다른 실시예에서, 층 (204) 에칭 (단계 108) 및 액체 (224) 제공 (단계 116) 이 동시에 발생하도록, 층 (204) 에칭 (단계 108) 이 습식 에칭을 사용할 수 있다. 이러한 실시예에서, 마스크는 후속 단계 (단계 112) 에서 제거되거나 층 (204) 에칭 (단계 108) 및 액체 (224) 제공 (단계 116) 과 동시에 제거될 수 있다. 최종적으로 액체 (224) 로 채워진 스페이스들을 갖는 복수의 고 종횡비 구조물들 (216) 을 갖는 층 (204) 을 제공하도록 다른 조합들이 사용될 수 있다. 본 실례에서, HF (hydrogen fluoride) 용액이 에칭 후 구조물들을 세정하는데 사용된다.
기판 (212) 은 희생적 브레이싱 건조 시스템 (sacrifical bracing drying system) 으로 전달될 수 있다. 도 3은 본 발명의 이 실시예에서 사용될 수 있는 희생적 브레이싱 건조 시스템 (300) 의 실례의 개략도이다. 건조 용액 소스 (304) 는 희생적 브레이싱 건조 챔버 (302) 에 유체 연통된다. 정전 척 (ESC) (308) 이 웨이퍼와 같은 기판 (212) 을 지지하도록 희생적 브레이싱 건조 챔버 (302) 내에 위치한다. 희생적 브레이싱 건조 시스템 (300) 은 습식 전달 스테이션 (332) 을 더 포함하며, 습식 전달 스테이션 (332) 은 액체 (310) 가 그 상에 배치된 기판 (212) 을 희생적 브레이싱 건조 챔버 (302) 내에 전달하는 방법을 제공하며, 분위기가 그를 통해서 제어될 수 있는 진공 시일 (vacuum seal) 을 제공한다. 희생적 브레이싱 건조 시스템 (300) 은 진공 펌프 (316) 을 더 포함한다. 하류 플라즈마 소스 (352) 는 희생적 브레이싱 건조 챔버 (302) 와 유체 연통한다.
이 실시예에서, ESC (308) 은 컨택트 층 (312), 열적 시스템 층 (318) 및 바디 (320) 를 포함한다. 온도 제어기 (384) 가 열적 시스템 층 (318) 에 전기적으로 연결된다. 척 전원 (357) 은 ESC (308) 상으로 기판 (212) 을 정전 방식으로 클램핑하기 위한 클램핑 전압을 제공한다. 액슬 (axel) (328) 이 ESC (308) 와 모터 (318) 간에 연결된다.
제어기 (370) 는 온도 제어기 (384), 척 전원 (357), 건조 용액 소스 (304), 진공 펌프 (316) 및 모터 (318) 에 제어가능하게 연결된다.
도 4는 본 발명의 실시예들에서 사용되는 제어기 (370) 를 구현하는데 적합한 컴퓨터 시스템 (400) 을 나타내는 하이 레벨 블록도이다. 컴퓨터 시스템 (400) 은 집적 회로, 인쇄 회로 보드, 및 소형 핸드헬드 디바이스로부터 거대 수퍼 컴퓨터에 이르는 수많은 물리적 형태를 가질 수 있다. 컴퓨터 시스템 (400) 은 하나 이상의 프로세서 (402) 를 포함하며, 전자 디스플레이 디바이스 (404) (그래픽, 텍스트 및 다른 데이터를 디스플레이하기 위함), 주 메모리 (406) (예를 들어서, RAM), 저장 디바이스 (408) (예를 들어서, 하드 디스크 드라이브), 이동식 저장 디바이스 (410) (예를 들어서, 광 디스크 드라이브), 사용자 인터페이스 디바이스들 (142) (예를 들어서, 키보드, 터치 스크린, 키패드, 마우스 또는 다른 포인팅 디바이스 등), 및 통신 인터페이스 (414) (예를 들어서, 무선 네트워크 인터페이스) 를 더 포함할 수 있다. 통신 인터페이스 (414) 는 링크를 통해서 컴퓨터 시스템 (400) 과 외부 디바이스들 간에서 소프트웨어 및 데이터가 전달될 수 있게 한다. 시스템은 또한 전술한 디바이스들/모듈들이 연결되는 통신 인프라스트럭처 (416) (예를 들어서, 통신 버스, 크로스-오버 바 또는 네트워크들) 를 포함할 수 있다.
통신 인터페이스 (414) 를 통해서 전달된 정보는 신호들을 반송하며 와이어 또는 케이블, 광섬유들, 전화 라인, 셀룰러 전화 링크, 무선 주파수 링크 및/또는 다른 통신 채널들을 사용하여서 구현될 수 있는 통신 링크를 통해서, 통신 인터페이스 (414) 에 의해서 수신될 수 있는 전자적 신호, 전자기적 신호, 광학적 신호 또는 다른 신호를 포함하는 신호 형태로 존재할 수 있다. 이러한 통신 인터페이스를 사용하여서, 하나 이상의 프로세서들 (402) 은 상술한 방법 단계들을 수행하는 과정에서 정보를 네트워크로부터 수신하거나 정보를 네트워크로 출력할 수 있다. 또한, 본 발명의 방법 실시예들은 오직 프로세서들 상에서 실행되거나 프로세싱의 일부를 공유하는 원격 프로세서들과 함께 인터넷과 같은 네트워크를 통해서 실행될 수 있다.
용어 "비일시적 컴퓨터 판독가능한 매체"는 일반적으로 하드 디스크, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM, 및 다른 형태의 영구 메모리와 같은, 주 메모리 디바이스, 보조 메모리 디바이스, 이동식 저장 디바이스 및 저장 디바이스와 같은 매체를 지칭하는데 사용된다. 컴퓨터 코드의 실례들은 컴파일러에 의해서 생성되는 것과 같은 머신 코드 및 인터프레터를 사용하여서 컴퓨터에 의해서 실행되는 보다 높은 레벨의 코드를 포함하는 파일들을 포함할 수 있다. 컴퓨터 판독가능한 매체는 또한 반송파에서 구현되는 컴퓨터 데이터 신호에 의해서 전송되며 프로세서에 의해서 실행가능한 인스트럭션들의 시퀀스를 표현하는 컴퓨터 코드일 수 있다.
액체가 건조 용액으로 대체된다 (단계 120). 본 실시예에서, 건조 용액은 용매 및 이 용매 중 적어도 어느 정도가 제거된 경우는, 고체를 형성하는 성분을 포함한다. 명세서 및 청구범위에서, 고체는 결정질, 비정질 유리, 또는 이 고체가 유지되는 온도에서 1 센티포아즈 (centipoise) 보다 큰 점도를 갖는 재료인 재료로서 규정된다. 이 실시예에서, 건조 용액은 PGMEA (propylene glycol monomethyl ether acetate) 내에 용해된 폴리(4-하이드록실 스티렌) (poly(4-hydroxy styrene)) 으로 구성된다. 다른 실시예들에서, 건조 용액은 물 및 물 용해성 폴리머 또는 다른 물 분산성 고체들 (water dispersible solids) 로 구성될 수 있다. 또한, 건조 용액은 유기 용해성 폴리머들 또는 다른 유기 용매 분산성 고체들을 함유하는 유기 용매로 구성될 수 있다. 다른 실시예에서, 모노머를 함유하는 유기 용매 용액 또는 물이 피처들 내로 분산되고 이어서 피처들 내에서 고체 폴리머를 형성하도록 폴리머화될 수 있다. 다른 실시예에서, 폴리머를 함유하는 유기 용매 용액 또는 물이 피처들 내로 분산되고 이어서 피처들 내에서 고체 폴리머 네트워크를 형성하도록 크로스-링크될 수 있다. 이 실시예에서, 건조 용액은 건조 용액 소스 (304) 로부터 분사된다.
건조 용액의 상기 성분이 고체를 형성하도록 용매가 건조 용액으로부터 제거된다 (단계 124). 바람직하게는, 용매의 일부가 기화에 의해서 제거된다. 이 실례에서, 기화는 이 층을 회전시킴으로써 초래된다. 회전은 액슬 (328) 을 두르는 화살표에 의해서 표시된 바와 같이, 액슬 (328) 을 회전시키도록 제어기 (370) 가 모터 (318) 에 신호를 보냄으로써 이루어진다. 액슬 (328) 은 ESC (308) 을 회전시키고 이로써 기판 (212) 을 회전시킨다. 다른 실시예들에서, 기화를 발생시키는데 열이 사용된다. 이 열은 ESC (308) 의 가열을 발생시키는 온도 제어기 (384) 에 의해서 제공될 수 있다. 이와 달리, 고온 플레이트가 어떠한 정전 클램프 없이 건조 용액을 지지하는데 사용될 수 있다. 다른 실시예들에서, 물 위 또는 아래의 가열 램프들이 열을 제공하게 사용될 수 있다. 바람직한 실시예에서, 용매는 임의의 추가적 가열 없이 회전 (spinning) 에 의해서 제거된다. 바람직하게는, 고체는 스페이스의 높이의 적어도 절반을 채운다. 보다 바람직하게는, 고체가 스페이들을 채운다. 가장 바람직하게는, 용매 기화로부터의 모세관력들을 완전하게 상쇄하게 고체들이 스페이스들을 과잉 충진한다.
고체가 제거된다 (단계 128). 바람직하게는, 고체는 애싱 프로세스에 의해서 제거된다. 이 실례에서, 플라즈마 소스 (352) 가 하류 플라즈마를 제공한다. 1000 mTorr의 압력이 제공된다. 하류 플라즈마는 3000 sccm O2 및 200 sccm N2의 스트리핑 가스를 사용한다. 스트리핑 가스는 1800 와트 RF를 사용하여서 플라즈마 소스 (352) 에 의해서 플라즈마로 형성된다. 고체는 정전 클램핑 없이 플레이튼 상에서 180 ℃로 가열된다. 온도 제어기 (384) 는 고체를 가열하는데 사용된다. 다른 실시예에서, UV 광이 고체를 분해 및 기화하는데 사용되고, 기화된 고체는 이어서 진공 챔버 내에서 멀리 펌핑된다.
고 종횡비 라인 및 스페이스 구조물들 (AR > 11:1) 을 사용하는 실험에서, 희생적 브레이싱 없이, 물 린스 프로세스 또는 심지어 저 표면 장력 PGMEA 린스 프로세스는 건조 후에 피처들의 80 퍼센트 이상의 붕괴를 나타내는 것으로 발견되었다. 본 상기 실례는 고체 제거 후에 피처들의 0 퍼센트의 붕괴를 보인 것으로 발견되었다. 고 종횡비 실린더 (cylinder) 구조물들 (AR > 15:1) 을 사용하는 실험에서, 희생적 브레이싱 없이, 물 린스 프로세스 또는 심지어 저 표면 장력 PGMEA 린스 프로세스는 건조 후에 실린더의 97 퍼센트 이상의 붕괴를 나타내는 것으로 발견되었다. 본 발명은 최적화된 고체 제거 프로세스 후에 실린더들의 0 퍼센트의 붕괴를 보인 것으로 발견되었다.
다른 실시예들에서, 산화물 층이 커패시터 구조물들을 릴리스 (release) 하게 습식 에칭될 수 있다. 다른 실시예들에서, 보다 많은 단계들이 동일한 챔버에서 이루어지거나 보다 많은 단계들이 상이한 챔버들에서 이루어질 수 있다.
본 발명은 몇몇 바람직한 실시예들의 차원에서 기술되었지만, 본 발명의 범위 내에 해당하는 변경, 치환, 및 다양한 대체 균등 사항들이 존재한다. 또한, 본 발명의 방법들 및 장치들을 구현하는 수많은 다른 방식들이 존재한다. 따라서, 다음의 첨부된 청구항들은 상기한 변경, 치환, 및 다양한 대체 균등 사항들을 본 발명의 진정한 사상 및 범위 내에 해당하는 것으로서 포함하도록 해석되어야 한다.

Claims (20)

  1. 복수의 구조물들을 갖는 에칭된 층으로서 상기 복수의 구조물들 간에 에칭된 스페이스들이 존재하는 상기 에칭된 층을 건조하는 방법으로서,
    상기 에칭된 층 상의 상기 에칭된 스페이스들 내에 액체를 제공하는 단계;
    상기 액체를 용매를 갖는 건조 용액으로 대체하는 단계;
    상기 건조 용액으로부터 고체를 형성하도록 상기 건조 용액으로부터 상기 용매 중 일부를 제거하는 단계로서, 상기 고체는 상기 에칭된 스페이스들의 높이의 적어도 절반을 충진하는, 상기 용매 중 일부를 제거하는 단계; 및
    이어서 상기 고체를 제거하는 단계를 포함하는,
    에칭된 층 건조 방법.
  2. 제 1 항에 있어서,
    상기 고체는 상기 에칭된 스페이스들을 완전하게 충진하는,
    에칭된 층 건조 방법.
  3. 제 2 항에 있어서,
    상기 용매 중 일부를 제거하는 단계는 회전, 가열 또는 회전과 가열 둘 모두에 의해서 이루어지는,
    에칭된 층 건조 방법.
  4. 제 3 항에 있어서,
    상기 고체는 애싱 (ashing) 또는 UV 열화 또는 애싱과 UV 열화 둘 모두에 의해서 이루어지는,
    에칭된 층 건조 방법.
  5. 제 4 항에 있어서,
    상기 고체는 결정질, 비정질 유리, 또는 1 cP보다 큰 점도를 갖는 물질인,
    에칭된 층 건조 방법.
  6. 제 5 항에 있어서,
    상기 고체를 제거하는 단계는 상기 에칭된 층의 재료에 대해서 무한한 선택도 (infinite selectivity) 를 갖는,
    에칭된 층 건조 방법.
  7. 제 6 항에 있어서,
    상기 고체는 상기 에칭된 층의 재료와 화학적으로 반응하지 않는,
    에칭된 층 건조 방법.
  8. 제 7 항에 있어서,
    상기 고체는 피처들 간의 에칭된 스페이스들을 과잉충진 (overfill) 하는,
    에칭된 층 건조 방법.
  9. 제 8 항에 있어서,
    상기 에칭된 스페이스들의 높이는 적어도 20 nm인,
    에칭된 층 건조 방법.
  10. 제 9 항에 있어서,
    상기 용매는 물 또는 유기 용액 중 적어도 하나이며,
    상기 유기 용액은 폴리머 형성 성분을 더 포함하는,
    에칭된 층 건조 방법.
  11. 제 1 항에 있어서,
    상기 고체는 상기 에칭된 스페이스들을 적어도 절반 충진하는,
    에칭된 층 건조 방법.
  12. 제 1 항에 있어서,
    상기 에칭된 층은 실리콘 또는 금속 기반 층인,
    에칭된 층 건조 방법.
  13. 제 1 항에 있어서,
    상기 복수의 구조물들 중 적어도 몇몇은 적어도 10:1의 종횡비를 갖는,
    에칭된 층 건조 방법.
  14. 제 1 항에 있어서,
    상기 용매 중 일부를 제거하는 단계는 회전, 가열 또는 회전과 가열 둘 모두에 의해서 이루어지는,
    에칭된 층 건조 방법.
  15. 제 1 항에 있어서,
    상기 고체는 애싱 (ashing) 또는 UV 열화 또는 애싱과 UV 열화 둘 모두에 의해서 이루어지는,
    에칭된 층 건조 방법.
  16. 제 1 항에 있어서,
    상기 고체는 결정질, 비정질 유리, 또는 1 cP보다 큰 점도를 갖는 물질인,
    에칭된 층 건조 방법.
  17. 제 1 항에 있어서,
    상기 고체는 상기 에칭된 층의 재료와 화학적으로 반응하지 않는,
    에칭된 층 건조 방법.
  18. 제 1 항에 있어서,
    상기 에칭된 스페이스들의 높이는 적어도 20 nm인,
    에칭된 층 건조 방법.
  19. 제 1 항에 있어서,
    상기 용매는 물 또는 유기 용액 중 적어도 하나이며,
    상기 유기 용액은 폴리머 형성 성분을 더 포함하는,
    에칭된 층 건조 방법.
  20. 습한 기판 (wet substrate) 을 건조하는 장치로서,
    상기 습한 기판을 수용하기 위한 챔버;
    상기 습한 기판을 상기 챔버 내로 전달하기 위한 습식 전달 스테이션;
    상기 챔버 내에서 상기 습한 기판을 지지하고 정전 방식으로 클램핑하기 위한 정전 척 (ESC);
    브레이싱 건조 용액 소스 (bracing drying solution source);
    브레이싱 건조 용액을 상기 브레이싱 건조 용액 소스로부터 상기 습한 기판으로 분사하기 위한 브레이싱 건조 용액 소스 분사기;
    상기 습한 기판을 회전하기 위한 모터;
    하류 플라즈마 (downstream plasma) 를 상기 챔버 내로 제공하기 위한 하류 플라즈마 소스;
    상기 습한 기판의 온도를 제어하기 위한 온도 제어기; 및
    상기 챔버와 유체 연통하는 진공 펌프를 포함하는,
    습한 기판 건조 장치.
KR1020140075801A 2013-06-21 2014-06-20 고 종횡비 구조물들의 무붕괴 건조 방법 KR102269818B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/924,314 2013-06-21
US13/924,314 US9666427B2 (en) 2013-06-21 2013-06-21 Method of collapse-free drying of high aspect ratio structures

Publications (2)

Publication Number Publication Date
KR20140148340A true KR20140148340A (ko) 2014-12-31
KR102269818B1 KR102269818B1 (ko) 2021-06-28

Family

ID=52109753

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140075801A KR102269818B1 (ko) 2013-06-21 2014-06-20 고 종횡비 구조물들의 무붕괴 건조 방법

Country Status (3)

Country Link
US (1) US9666427B2 (ko)
KR (1) KR102269818B1 (ko)
TW (1) TWI631611B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160143578A (ko) * 2015-06-04 2016-12-14 램 리써치 코포레이션 고 종횡비 구조체들을 붕괴 없이 건조하기 위한 방법

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9666427B2 (en) 2013-06-21 2017-05-30 Lam Research Corporation Method of collapse-free drying of high aspect ratio structures
US10090376B2 (en) 2013-10-29 2018-10-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and methods of forming capacitor structures
US9466511B2 (en) * 2014-09-18 2016-10-11 Lam Research Corporation Systems and methods for drying high aspect ratio structures without collapse using stimuli-responsive sacrificial bracing material
US10068781B2 (en) 2014-10-06 2018-09-04 Lam Research Corporation Systems and methods for drying high aspect ratio structures without collapse using sacrificial bracing material that is removed using hydrogen-rich plasma
US10008396B2 (en) * 2014-10-06 2018-06-26 Lam Research Corporation Method for collapse-free drying of high aspect ratio structures
JP6613983B2 (ja) * 2016-03-23 2019-12-04 Jsr株式会社 基板処理方法
JP6737666B2 (ja) * 2016-09-12 2020-08-12 株式会社Screenホールディングス 犠牲膜形成方法、基板処理方法および基板処理装置
US10727044B2 (en) * 2017-09-21 2020-07-28 Honeywell International Inc. Fill material to mitigate pattern collapse
US10748757B2 (en) * 2017-09-21 2020-08-18 Honeywell International, Inc. Thermally removable fill materials for anti-stiction applications
US10784101B2 (en) 2017-12-19 2020-09-22 Micron Technology, Inc. Using sacrificial solids in semiconductor processing
JP2021536665A (ja) * 2018-08-31 2021-12-27 ハネウェル・インターナショナル・インコーポレーテッドHoneywell International Inc. パターン崩壊緩和のための水溶性ポリマー
KR20200115884A (ko) * 2019-03-28 2020-10-08 삼성디스플레이 주식회사 감압 건조 장치
WO2021231307A1 (en) 2020-05-12 2021-11-18 Lam Research Corporation Controlled degradation of a stimuli-responsive polymer film

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6337277B1 (en) * 2000-06-28 2002-01-08 Lam Research Corporation Clean chemistry low-k organic polymer etch
US6377277B1 (en) * 1995-08-10 2002-04-23 Sega Enterprises, Ltd. Virtual image generation apparatus and method
US20100181656A1 (en) * 2004-04-08 2010-07-22 Jon Daley Methods of eliminating pattern collapse on photoresist patterns
US20110189858A1 (en) * 2010-02-01 2011-08-04 Lam Research Corporation Method for reducing pattern collapse in high aspect ratio nanostructures

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6072006A (en) * 1998-11-06 2000-06-06 Arch Specialty Chemicals, Inc. Preparation of partially cross-linked polymers and their use in pattern formation
US6660459B2 (en) * 2001-03-14 2003-12-09 Advanced Micro Devices, Inc. System and method for developing a photoresist layer with reduced pattern collapse
US20020168785A1 (en) * 2001-05-10 2002-11-14 Symetrix Corporation Ferroelectric composite material, method of making same, and memory utilizing same
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US7033735B2 (en) * 2003-11-17 2006-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Water soluble negative tone photoresist
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US9673037B2 (en) * 2011-05-31 2017-06-06 Law Research Corporation Substrate freeze dry apparatus and method
US8734662B2 (en) * 2011-12-06 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing photoresist removal
US9666427B2 (en) 2013-06-21 2017-05-30 Lam Research Corporation Method of collapse-free drying of high aspect ratio structures

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6377277B1 (en) * 1995-08-10 2002-04-23 Sega Enterprises, Ltd. Virtual image generation apparatus and method
US6337277B1 (en) * 2000-06-28 2002-01-08 Lam Research Corporation Clean chemistry low-k organic polymer etch
US20100181656A1 (en) * 2004-04-08 2010-07-22 Jon Daley Methods of eliminating pattern collapse on photoresist patterns
US20110189858A1 (en) * 2010-02-01 2011-08-04 Lam Research Corporation Method for reducing pattern collapse in high aspect ratio nanostructures

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160143578A (ko) * 2015-06-04 2016-12-14 램 리써치 코포레이션 고 종횡비 구조체들을 붕괴 없이 건조하기 위한 방법

Also Published As

Publication number Publication date
TWI631611B (zh) 2018-08-01
US20140373384A1 (en) 2014-12-25
US9666427B2 (en) 2017-05-30
KR102269818B1 (ko) 2021-06-28
TW201521102A (zh) 2015-06-01

Similar Documents

Publication Publication Date Title
KR102269818B1 (ko) 고 종횡비 구조물들의 무붕괴 건조 방법
JP6321937B2 (ja) 剥離乾燥装置及び方法
US9673037B2 (en) Substrate freeze dry apparatus and method
CN105489529B (zh) 用牺牲支撑材料无塌陷干燥高深宽比结构的系统和方法
TWI774742B (zh) 矽氮化物之原子層蝕刻
US9466511B2 (en) Systems and methods for drying high aspect ratio structures without collapse using stimuli-responsive sacrificial bracing material
US20160042945A1 (en) Coverage of high aspect ratio features using spin-on dielectric through a wetted surface without a prior drying step
EP1697984A2 (en) Method of preventing damage to porous low-k materials during resist stripping
JP2013016699A (ja) 基板処理方法及び基板処理装置
US10008396B2 (en) Method for collapse-free drying of high aspect ratio structures
KR102649018B1 (ko) 잔여물 프리 옥사이드 에칭
KR101919641B1 (ko) 높은 에칭 레이트를 제공하기 위한 방법
US9679770B2 (en) Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
KR102591277B1 (ko) 고 종횡비 구조체들을 붕괴 없이 건조하기 위한 방법
US11923199B2 (en) Method and structure of middle layer removal
KR20140105567A (ko) 실리콘 온 인슐레이터 에칭
US8846528B2 (en) Method of modifying a low k dielectric layer having etched features and the resulting product
KR20200092359A (ko) 기판 처리 장치, 기판 처리 방법 및 기판 처리 방법을 실행시키는 프로그램이 기록된 기억 매체

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant