KR20140105567A - 실리콘 온 인슐레이터 에칭 - Google Patents

실리콘 온 인슐레이터 에칭 Download PDF

Info

Publication number
KR20140105567A
KR20140105567A KR1020147019392A KR20147019392A KR20140105567A KR 20140105567 A KR20140105567 A KR 20140105567A KR 1020147019392 A KR1020147019392 A KR 1020147019392A KR 20147019392 A KR20147019392 A KR 20147019392A KR 20140105567 A KR20140105567 A KR 20140105567A
Authority
KR
South Korea
Prior art keywords
silicon
layer
etching
etch
gas
Prior art date
Application number
KR1020147019392A
Other languages
English (en)
Inventor
시이 리
로버트 헤프티
마크 토드헌터 롭슨
제임스 알 바워스
찰스 오드리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140105567A publication Critical patent/KR20140105567A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 프로세싱 챔버 내의 산화 실리콘 층 위의 실리콘 층 위의 질화 실리콘 층의 스택 (stack) 을 통해 피처들 (features) 을 에칭 (etching) 하는 방법이 제공된다. 상기 플라즈마 프로세싱 챔버 내에서 상기 질화 실리콘 층은, 질화 실리콘 에칭 가스를 흐르게 하는 단계, 상기 질화 실리콘 층을 에칭하도록 상기 질화 실리콘 에칭 가스 또는 상기 탄화 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 및 상기 질화 실리콘 에칭 가스의 흐름을 정지시키는 단계를 포함하여 에칭된다. 상기 플라즈마 프로세싱 챔버 내에서 상기 실리콘 층은, 상기 실리콘 에칭 가스는 SF6 또는 SiF4 를 포함하는 실리콘 에칭 가스를 흐르게 하는 단계, 상기 실리콘 층을 에칭하도록 상기 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 및 상기 실리콘 에칭 가스의 흐름을 정지시키는 단계를 포함하여 에칭된다. 상기 플라즈마 프로세싱 챔버 내에서 상기 산화 실리콘 층은, 실리콘 에칭 가스를 흐르게 하는 단계, 상기 산화 실리콘 층을 에칭하도록 상기 산화 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 및 상기 산화 실리콘 에칭 가스의 흐름을 정지시키는 단계를 포함하여 에칭된다.

Description

실리콘 온 인슐레이터 에칭 {SILICON ON INSULATOR ETCH}
본 발명은 반도체 디바이스의 생산 동안 마스크 (mask) 를 통해 실리콘 층을 에칭 (etching) 하는 것에 관한 것이다. 보다 상세하게는, 본 발명은 절연체 층 상의 실리콘에 관한 것이다.
반도체 웨이퍼 프로세싱 동안, 피처들은 산화 실리콘 층 위의 실리콘 층 위의 질화 실리콘 층의 스택 (stack) 내로 에칭될 수도 있다.
본 발명의 목적에 따라 앞서 말한 것들을 달성하기 위하여, 플라즈마 프로세싱 챔버 (plasma processing chamber) 내의 산화 실리콘 층 위의 실리콘 층 위의 탄화 실리콘 층 또는 질화 실리콘 층의 스택을 통해 피처들을 에칭하는 방법이 제공된다. 질화 실리콘 층 또는 탄화 실리콘 층은 플라즈마 프로세싱 챔버 내에서 에칭되며, 플라즈마 프로세싱 챔버 내로 질화 실리콘 에칭 가스 또는 탄화 실리콘 에칭 가스를 흐르게 하는 단계; 질화 실리콘 층을 에칭하도록 질화 실리콘 에칭 가스 또는 탄화 실리콘 에칭 가스를 플라즈마로 형성하는 단계; 및 질화 실리콘 에칭 가스 또는 탄화 실리콘 에칭 가스의 흐름을 정지시키는 단계를 포함한다. 실리콘 층은 플라즈마 프로세싱 챔버 내에서 에칭되며, 플라즈마 프로세싱 챔버 내로 질화 실리콘 에칭 가스와는 상이한, SF6 또는 SiF4 를 포함하는 실리콘 에칭 가스를 흐르게 하는 단계, 실리콘 층을 에칭하도록 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 및 실리콘 에칭 가스의 흐름을 정지시키는 단계를 포함한다. 산화 실리콘 층은 플라즈마 프로세싱 챔버 내에서 에칭되며, 플라즈마 프로세싱 챔버 내로 실리콘 에칭 가스와는 상이한 산화 실리콘 에칭 가스를 흐르게 하는 단계, 산화 실리콘 층을 에칭하도록 산화 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 및 실리콘 에칭 가스의 흐름을 정지시키는 단계를 포함한다.
본 발명의 또 다른 실시예에서, 플라즈마 프로세싱 챔버 내의 산화 실리콘 층 위의 실리콘 층 위의 탄화 실리콘 층 또는 질화 실리콘 층의 스택을 통해 피처들을 에칭하는 방법이 제공된다. 스택은 적어도 하나의 용량성 결합된 안테나를 갖는 플라즈마 프로세싱 챔버 내에 위치한다. 질화 실리콘 층 또는 탄화 실리콘 층은 플라즈마 프로세싱 챔버 내에서 에칭되며, 플라즈마 프로세싱 챔버 내로 질화 실리콘 에칭 가스 또는 탄화 실리콘 에칭 가스를 흐르게 하는 단계; 적어도 하나의 용량성 결합된 안테나로부터의 전력을 사용하여 질화 실리콘 층을 에칭하도록 질화 실리콘 에칭 가스 또는 탄화 실리콘 에칭 가스를 플라즈마로 형성하는 단계; 및 질화 실리콘 에칭 가스 또는 탄화 실리콘 에칭 가스의 흐름을 정지시키는 단계를 포함한다. 실리콘 층은 플라즈마 프로세싱 챔버 내에서 에칭되며, 플라즈마 프로세싱 챔버 내로 질화 실리콘 에칭 가스와는 상이한 실리콘 에칭 가스를 흐르게 하는 단계로서, 실리콘 에칭 가스는 SF6 또는 SiF4 중 적어도 하나 및 CH3F, 또는 CH2F2 또는 CH4 중 적어도 하나 및 Ar 또는 N2 중 적어도 하나를 포함하는 실리콘 에칭 가스를 흐르게 하는 단계, 적어도 하나의 용량성 결합된 안테나로부터의 전력을 사용하여 실리콘 층을 에칭하도록 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 및 실리콘 에칭 가스의 흐름을 정지시키는 단계를 포함한다. 산화 실리콘 층은 플라즈마 프로세싱 챔버 내에서 에칭되며, 플라즈마 프로세싱 챔버 내로 실리콘 에칭 가스와는 상이한 산화 실리콘 에칭 가스를 흐르게 하는 단계, 적어도 하나의 용량성 결합된 안테나로부터의 전력을 사용하여 산화 실리콘 층을 에칭하도록 산화 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 및 산화 실리콘 에칭 가스의 흐름을 정지시키는 단계를 포함한다. 스택은 플라즈마 프로세싱 챔버로부터 제거된다.
본 발명의 이러한 그리고 다른 특징들은 다음의 도면들과 함께 이하의 본 발명의 구체적인 설명에서 보다 상세히 설명될 것이다.
본 발명은, 첨부되는 그림들의 도면들에서 제한으로서가 아닌, 일례로서 예시되며, 동일한 참조 번호들은 유사한 엘리먼트들을 지칭한다.
도 1은 본 발명의 일 실시예의 높은 레벨의 플로우차트이다.
도 2a 내지 도 2e 는 본 발명의 일 실시예에 따라 프로세싱된 스택의 개략도들이다.
도 3은 에칭하는데 사용될 수도 있는 에칭 반응기의 개략도이다.
도 4는 본 발명의 실시예들에서 사용되는 제어기를 구현하기에 적합한, 컴퓨터 시스템을 예시한다.
도 5는 질화 실리콘 또는 탄화 실리콘 에칭 단계의 보다 상세한 플로우차트이다.
도 6은 실리콘 에칭 단계의 보다 상세한 플로우차트이다.
도 7은 산화 실리콘 에칭 단계의 보다 상세한 플로우차트이다.
본 발명은 첨부된 도면들에서 예시되는 것과 같이 본 발명의 몇몇의 바람직한 실시예들로의 참조와 함께 이하에서 구체적으로 설명될 것이다. 이하의 설명에서, 본 발명의 완전한 이해를 제공하기 위하여 많은 특정한 구체사항들이 개시된다. 하지만, 당업자에게, 이러한 특정한 구체사항들의 일부 또는 전부 없이 본 발명이 실시될 수도 있다는 것은 명백할 것이다. 다른 예시들에서, 잘 알려진 프로세스 단계들 및 또는 구조들은 본 발명을 불필요하게 모호하게 하지 않도록 구체적으로 설명되지 않는다.
실리콘 온 인슐레이터 (silicon-on-insulator; SOI) 를 사용하여 반도체 디바이스들을 생성함에 있어서, 산화 실리콘 층 위의 실리콘 층 위의 탄화 실리콘 층 또는 질화 실리콘 층을 갖는 스택이 에칭된다. 그러한 피처들을 수직 벽들과 함께 에칭하는 것이 바람직하다.
이해를 용이하게 하기 위해, 도 1은 본 발명의 일 실시예에서 사용된 프로세스의 높은 레벨의 플로우차트이다. 산화 실리콘 기반 층 위의 실리콘 층 위의 탄화 실리콘 층 또는 질화 실리콘 층의 스택을 갖는 기판은, 플라즈마 프로세싱 챔버와 같은, 챔버 내에 위치한다 (단계 104). 질화 실리콘 층 또는 탄화 실리콘 층은 에칭된다 (단계 108). 실리콘 층은 에칭된다 (단계 112). 산화 실리콘 층은 에칭된다 (단계 116). 기판은 플라즈마 프로세싱 챔버로부터 제거된다 (단계 120).
실시예
본 발명의 실시예에서, 기판은 플라즈마 프로세싱 챔버 내에 위치한다 (단계 104). 도 2a는, 기판 (204) 위에 베리드 산화물 층 (buried oxide layer; BOX) (208) 이 형성되고, 그 위에 절연체 층 상의 실리콘 (212) 이 위치하며, 그 위에 질화 실리콘 층 (216) 이 위치하고, 그 위에 산화 실리콘 층 (220) 이 위치하며, 그 위에 유기 층 (224) 이 위치하고, 그 위에 반사방지 코팅 (antireflective coating; ARC) (228) 이 위치하며, 그 위에 패터닝된 (patterned) 포토레지스트 마스크 (photoresist mask) (232) 가 위치하는 기판 (204) 을 갖는 스택 (200) 의 단면도이다. 본 실시예에서, 패터닝된 포토레지스트 마스크 (232) 는 좁은 피처 (236) 및 넓은 피처 (240) 를 가진다.
도 3은 본 발명을 실시하는데 사용될 수도 있는 에칭 반응기의 개략도이다. 본 발명의 하나 이상의 실시예들에서, 에칭 반응기 (300) 는, 챔버 벽 (350) 에 의해 인클로징 (eclose) 되어, 플라즈마 프로세싱 챔버 (349) 내에서 상단 중앙 전극 (306), 상단 외측 전극 (304), 하단 중앙 전극 (308), 및 하단 외측 전극 (310) 을 포함한다. 하단 절연체 링 (312) 은 하단 외측 전극 (310) 으로부터 하단 중앙 전극 (308) 을 절연시킨다. 또한 플라즈마 프로세싱 챔버 (349) 내에서, 기판 (204) 은 하단 중앙 전극 (308) 의 상단 상에 위치한다. 하단 중앙 전극 (308) 은 기판 (204) 을 홀딩하기 위한 정전 척 (electrostatic chuck; ESC) 을 제공한다.
가스 소스 (324) 는 플라즈마 프로세싱 챔버 (349) 와 연결되고 에칭 프로세스 동안 플라즈마 프로세싱 챔버 (349) 의 플라즈마 영역 (340) 내로 에칭 가스를 공급한다. 본 실시예에서, 가스 소스 (324) 는 질화 실리콘 에칭 가스 소스 (364), 실리콘 에칭 가스 소스 (366), 및 산화 실리콘 에칭 가스 소스 (368) 를 포함한다.
바이어스 RF 소스 (348), 제1 여기 RF 소스 (352), 및 제2 여기 RF 소스 (356) 는, 전극들 (304, 306, 308, 및 310) 로 전력을 제공하도록 제어기 (335) 를 통하여 플라즈마 프로세스 챔버 (349) 와 전기적으로 연결된다. 바이어스 RF 소스 (348) 는 바이어스 RF 전력을 생성하고, 플라즈마 프로세싱 챔버 (349) 로 바이어스 RF 전력을 공급한다. 본 실시예에서, 바이어스 RF 전력은 2 MHz 의 주파수를 가진다. 제1 여기 RF 소스 (352) 는 소스 RF 전력을 생성하고, 플라즈마 프로세싱 챔버 (349) 로 소스 RF 전력을 공급한다. 본 실시예에서, 이러한 소스 RF 전력은 27 MHz 의 주파수를 가진다. 제2 여기 RF 소스 (356) 는, 제1 여기 RF 소스 (352) 에 의해 생성된 RF 전력에 더하여, 또 다른 소스 RF 전력을 생성하고, 플라즈마 프로세싱 챔버 (349) 로 소스 RF 전력을 공급한다. 본 실시예에서, 이러한 소스 RF 전력은 60 MHz 의 주파수를 가진다.
상이한 RF 신호들은 상단 전극들 및 하단 전극들의 다양한 조합들로 공급될 수도 있다. 바람직하게는, 본 실시예에서, 가장 낮은 주파수의 RF는 하단 중앙 전극 (308) 인, 에칭될 재료가 위치한, 하단 전극을 통하여 인가되어야 한다. 본 실시예에서, 상단 전극들은 접지되고, 전력은 하단 중앙 전극 (308) 으로만 제공된다.
제어기 (335) 는, 가스 소스 (324), 바이어스 RF 소스 (348), 제1 여기 RF 소스 (352), 및 제2 여기 RF 소스 (356) 와 연결된다. 제어기 (335) 는, 플라즈마 프로세싱 챔버 (349) 내로의 에칭 가스의 흐름뿐만 아니라, 3 개의 RF 소스들 (348, 352, 356) 로부터 RF 전력의 생성, 전극들 (304, 306, 308, 및 310), 배기 펌프 (320) 를 제어한다.
또한, 상단 중앙 전극 (306) 은, 가스 소스 (324) 와 연결된 가스 분배 플레이트로서 역할을 하고, 가스 소스 (324) 로부터의 가스를 위한 가스 유입구로서 역할을 한다. 배기 펌프는, 상단 중앙 전극 (306) 으로부터 플라즈마 영역 (340) 을 통하고 아퍼쳐들 (apertures) (302) 을 통하여 배기 펌프 (320) 까지 통과하는, 가스를 제거하는 가스 배출구로서 역할을 한다.
캘리포니아, 프레몬트의 Lam Research CorporationTM 에 의해 제작된 Flex EX® 유전체 에칭 시스템은 본 발명의 바람직한 실시예에서 사용될 수도 있다.
도 4는, 본 발명의 실시예들에서 사용되는 제어기 (335) 를 구현하기 적합한, 컴퓨터 시스템 (400) 을 도시한 높은 레벨의 블록도이다. 컴퓨터 시스템은, 집적 회로, 인쇄 회로 기판, 및 손바닥 크기의 디바이스로부터 거대한 슈퍼 컴퓨터까지 이르는 많은 물리적인 형태들을 가질 수도 있다. 컴퓨터 시스템 (400) 은 하나 이상의 프로세서들 (processors) (402) 을 포함하고, (그래픽, 텍스트, 및 다른 데이터를 디스플레이하기 위한) 전자 디스플레이 디바이스 (404), 메인 메모리 (406) (예를 들면, RAM), 스토리지 디바이스 (408) (예를 들면, 하드 디스크 드라이브), 이동식 스토리지 디바이스 (410) (예를 들면, 광 디스크 드라이브), 사용자 인터페이스 디바이스 (412) (예를 들면, 키보드, 터치 스크린, 키패드, 마우스 또는 다른 포인팅 디바이스 등), 및 통신 인터페이스 (414) (예를 들면, 무선 네트워크 인터페이스) 를 더 포함할 수 있다. 통신 인터페이스 (414) 는, 소프트웨어 및 데이터로 하여금 링크를 통해 컴퓨터 시스템 (400) 과 외부 디바이스들 사이에서 전송되도록 한다. 또한, 시스템은, 상술한 디바이스들/모듈들이 연결되는 통신 인프라구조 (416) (예를 들면, 통신 버스 (communications bus), 크로스오버 바 (cross-over bar), 또는 네트워크) 을 포함할 수도 있다.
통신 인터페이스 (414) 를 통해 전송되는 정보는, 전기, 전자기, 광, 또는 통신 인터페이스 (414) 를 통해 수신될 수 있는 다른 신호들과 같은 신호들의 형태일 수도 있으며, 신호들을 전해주는 통신 링크를 통해, 와이어 또는 케이블, 광 섬유, 전화 선, 무선 전화 링크, 무선 주파수 링크, 및/또는 다른 통신 채널들을 사용하여 구현될 수도 있다. 그러한 통신 인터페이스와 함께, 하나 이상의 프로세서들 (402) 이 네트워크로부터 정보를 수신할 수도 있거나, 상술한 방법 단계들을 수행하는 것의 과정에서 네트워크로 정보를 출력할 수도 있다는 점이 고려된다. 또한, 본 발명의 방법 실시예들은, 프로세서들 상에서만 실행될 수도 있거나, 프로세싱의 부분을 공유하는 리모트 (remote) 프로세서들과 함께 인터넷과 같은 네트워크에 걸쳐 실행될 수도 있다.
"비일시적 컴퓨터 판독가능 매체 (non-transient computer readable medium)" 라는 용어는, 일반적으로 하드 디스크, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM 및 지속성 메모리 (persistent memory) 의 다른 형태들과 같은, 스토리지 디바이스들, 및 이동식 스토리지, 보조 메모리 (secondary memory), 메인 메모리와 같은 매체들을 지칭하는데 사용되고, 캐리어 웨이브 (carrier wave) 또는 신호들과 같은 일시적인 청구물을 커버하도록 이해되어서는 안 된다. 컴퓨터 코드의 예시들은, 컴파일러에 의해 생산되는 것과 같은 머신 (machine) 코드, 및 번역기를 사용하여 컴퓨터에 의해 실행되는 보다 높은 레벨의 코드를 포함하는 파일들을 포함한다. 또한, 컴퓨터 판독가능 매체는, 프로세서에 의해 실행가능한 인스트럭션의 시퀀스를 표현하고, 캐리어 웨이브에 내재된 컴퓨터 데이터 신호에 의해 전송되는 컴퓨터 코드일 수도 있다.
본 실시예에서, 다양한 단계들이 ARC 층 (228), 유기 층 (224), 및 산화 실리콘 층 (220) 을 에칭하도록 수행된다. 그러한 단계들은, 기판이 플라즈마 프로세싱 챔버 (300) 내에 있는 동안, 또는 기판이 플라즈마 프로세싱 챔버 (300) 내에 위치하기 전에 수행될 수도 있다. 본 실시예에서, 플라즈마 프로세싱 챔버 내의 유기 층 개방 단계는 ARC 층 (228) 및 유기 층 (224) 을 에칭한다. 도 2b는 ARC 층 (228) 및 유기 층 (224) 내로 피처들 (236, 240) 이 에칭된 후 스택 (200) 의 단면도이다.
질화 실리콘 층 (216) 은 에칭된다 (단계 108). 본 실시예에서, 산화 실리콘 층 (220) 및 질화 실리콘 층 (216) 은 동일한 단계에서 에칭된다. 또한, 약 절반의 실리콘 층 (212) 은 이 단계 동안 에칭된다. 도 5는 질화 실리콘 층을 에칭하는 단계의 보다 상세한 플로우차트이다 (단계 108). 질화 실리콘 에칭 가스 또는 탄화 실리콘 에칭 가스는 질화 실리콘 에칭 가스 소스 (364) 로부터 플라즈마 프로세싱 챔버 (349) 내로 흐른다 (단계 504). 질화 실리콘 에칭 가스 또는 탄화 실리콘 에칭 가스는 플라즈마로 형성된다 (단계 508). 에칭이 완료된 후, 질화 실리콘 에칭 가스 또는 탄화 실리콘 에칭 가스의 흐름은 정지한다 (단계 512).
질화 실리콘 층 에칭을 위한 레시피의 실시예는 15 mTorr 의 압력을 제공한다. 38 sccm O2, 10 sccm C4F8, 70 sccm CH2F2 의 질화 실리콘 에칭 가스는 질화 실리콘 에칭 가스 소스 (364) 로부터 플라즈마 프로세싱 챔버 (349) 내로 흐른다. 에칭 가스는, 27 MHz 에서 900 와트 및 2 MHz 에서 4500 와트를 제공함으로써 플라즈마로 변형된다. 프로세스는 30분 동안 유지된다.
일반적으로 질화 실리콘 에칭 가스 및 탄화 실리콘 에칭 가스는 본질적으로 SF6 및 SiF4 가 없다.
도 2c는 본 실시예에서 질화 실리콘 에칭 후 스택 (200) 의 단면도이다. 도시된 바와 같이, 산화 실리콘 층 (220) 및 질화 실리콘 층 (216) 은 완전히 에칭되고, 약 절반의 실리콘 층 (212) 이 에칭된다. 또 다른 실시예에서, 질화 실리콘 에칭 동안 대부분의 실리콘 층 (212) 이 에칭된다.
실리콘 층 (212) 은 에칭된다 (단계 112). 이 단계에서, 실리콘 층 (212) 의 에칭은 완료된다. 도 6은 실리콘 층 에칭의 보다 상세한 플로우차트이다 (단계 112). 실리콘 에칭 가스는 실리콘 에칭 가스 소스 (366) 로부터 플라즈마 프로세싱 챔버 (349) 내로 흐른다 (단계 604). 실리콘 에칭 가스는 플라즈마로 형성된다 (단계 608). 에칭이 완료된 후, 실리콘 에칭 가스의 흐름은 정지한다 (단계 612).
실리콘 층 에칭을 위한 레시피의 실시예에서 15 mTorr 의 압력이 제공된다. 150 sccm N2, 75 sccm CH2F2, 30 SF6 의 실리콘 에칭 가스는 실리콘 에칭 가스 소스 (366) 로부터 플라즈마 프로세싱 챔버 (349) 내로 흐른다. 실리콘 에칭 가스는, 60 MHz 에서 300와트, 27 MHz 에서 900와트 및 2 MHz 에서 4500 와트를 제공함으로써 플라즈마로 변형된다. 프로세스는 30분 동안 유지된다.
실리콘 에칭 가스는 질화 실리콘 에칭 가스 또는 탄화 실리콘 에칭 가스와는 상이하다. 또한, 실리콘 에칭 가스는 SF6 또는 SiF4 를 포함한다. 보다 바람직하게는, 실리콘 에칭 가스는 하이드로카본를 포함한다. 하이드로카본은 탄소와 수소의 분자이며, 플루오르를 가질 수도 있고 가지지 않을 수도 있다. 보다 바람직하게는, 하이드로카본은 CH3F, 또는 CH2F2 또는 CH4 중 하나이다. 보다 바람직하게는, 실리콘 에칭 가스는 Ar 또는 N2를 더 포함한다. 압력은 5 mTorr 내지 100 mTorr 의 범위일 수도 있다.
도 2d는 본 실시예에서 실리콘 에칭 후 스택 (200) 의 단면도이다. 도시된 바와 같이, 나머지 실리콘 층 (212) 및 적어도 절반의 베리드 산화물 실리콘 층 (208) 은 에칭된다.
베리드 산화물 실리콘 층 (208) 은 에칭된다 (단계 116). 본 실시예에서, 나머지 베리드 산화물 실리콘 층 (208) 은 에칭된다. 도 7은 산화 실리콘 층의 에칭 단계의 보다 상세한 플로우차트이다 (단계 116). 산화 실리콘 에칭 가스는 산화 실리콘 에칭 가스 소스 (368) 로부터 플라즈마 프로세싱 챔버 (349) 내로 흐른다 (단계 704). 산화 실리콘 에칭 가스는 플라즈마로 형성된다 (단계 708). 에칭이 완료된 후, 산화 실리콘 에칭 가스의 흐름은 정지한다 (단계 712).
산화 실리콘 층 에칭을 위한 레시피의 실시예에서 16 mTorr 의 압력이 제공된다. 16 sccm C4F6, 23 sccm O2, 32 sccm C4F8, 및 300 sccm Ar 의 산화 실리콘 에칭 가스는 산화 실리콘 에칭 가스 소스 (368) 로부터 플라즈마 프로세싱 챔버 (349) 내로 흐른다. 산화 실리콘 에칭 가스는, 60 MHz 에서 0와트, 27 MHz 에서 900와트 및 2 MHz 에서 4500 와트를 제공함으로써 플라즈마로 변형된다. 프로세스는 50분 동안 유지된다.
일반적으로 산화 실리콘 에칭 가스는 본질적으로 SF6 및 SiF4 가 없다.
도 2e는 본 실시예에서 산화 실리콘 에칭 후 스택 (200) 의 단면도이다. 도시된 바와 같이, 베리드 산화물 실리콘 층은 완전히 에칭된다. 본 실시예에서, 도핑된 (doped) 실리콘 기판일 수도 있는, 몇몇의 기판은 또한 에칭된다.
제1 단계가 산화 실리콘 위의 실리콘 위의 탄화 실리콘 층 또는 질화 실리콘 층을 갖는 스택을 에칭하는데 SF6 또는 SiF4 를 사용하지 않는 다단계 에칭을 사용하는 것이 에칭에 의존하는 종횡비 없이 수직의 프로파일들을 제공한다는 점이 예상외로 발견되었다. 일반적으로, 질화 실리콘 에칭 또는 탄화 실리콘 에칭은 보다 많은 중합 (polymerization) 을 제공하며, 실리콘 에칭은 보다 적은 프로파일 보잉 (bowing), 보다 낮은 테이퍼 (taper), 보다 높은 에칭 선택도 (selectivity), 보다 빠른 실리콘 에칭 레이트 (rate), 보다 적은 중합을 제공한다.
질화 실리콘 에칭 또는 탄화 실리콘 에칭에 의해 에칭된 실리콘 층의 양은 제거에 이용가능한 마스크의 양 또는 에칭 버짓 (budget) 에 의존한다. 질화 실리콘 에칭 레시피는 실리콘 에칭 레시피보다 마스크에 대해 보다 선택적이다.
단일 단계만이 사용되는 선행 기술에 따라 에칭이 수행될 경우, 90 nm 깊이로 에칭된 피처는 하단에서보다 상단에서 7 nm 만큼 더 크게 확인되었다. 다단계들을 제공함으로써, 90 nm 피처가 하단에서보다 상단에서 2 nm 이하 만큼 더 크게 확인되게 테이퍼가 감소되었다.
다양한 실시예들에서, 진보한 방법은, 질화 실리콘 또는 탄화 실리콘, 실리콘, 및 산화 실리콘 스택의 층 모두를 통해 89°내지 90°의 수직 측벽들을 제공한다. 또한, 진보한 방법은 수직 측벽들과 함께 좁은 피처들 및 넓은 피처들 모두의 형성을 허용한다.
또한, 본 발명은, 여전히 빠른 에칭 레이트 및 수직의 측벽들을 제공하지만, 유전체 층들을 하나의 챔버에서 에칭하고 실리콘 층을 또 다른 층에서 에칭하는 대신에, 단일의 용량성 결합된 디바이스에서 상이한 유전체 층 및 실리콘 층의 에칭을 허용한다. 또한, 본 발명은, 22 nm 보다 작은 폭을 갖는 피처들의 형성을 허용한다.
본 발명이 몇몇의 바람직한 실시예들에 관하여 설명되었지만, 본 발명의 범위에 포함되는, 다양한 대체 균등물들, 수식들, 치환들 및 변경들이 있다. 또한, 본 발명의 장치들 또는 방법들을 구현하는 많은 대안적인 방법들이 있다는 점이 주목되어야 한다. 그러므로, 이하의 첨부되는 청구항들은, 본 발명의 범위 및 진정한 기술 사상에 포함되는, 모든 그러한 다양한 대체 균등물들, 치환들, 변경들을 포함하여 이해된다는 점은 의도된 것이다.

Claims (17)

  1. 플라즈마 프로세싱 챔버 내의 산화 실리콘 층 위의 실리콘 층 위의 탄화 실리콘 층 또는 질화 실리콘 층의 스택 (stack) 을 통해 피처들 (features) 을 에칭 (etching) 하는 방법으로서,
    상기 플라즈마 프로세싱 챔버 내에서 상기 질화 실리콘 층 또는 상기 탄화 실리콘 층을 에칭하는 단계로서,
    상기 플라즈마 프로세싱 챔버 내로 질화 실리콘 에칭 가스 또는 탄화 실리콘 에칭 가스를 흐르게 하는 단계,
    상기 질화 실리콘 층을 에칭하도록 상기 질화 실리콘 에칭 가스 또는 상기 탄화 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 및
    상기 질화 실리콘 에칭 가스 또는 상기 탄화 실리콘 에칭 가스의 흐름을 정지시키는 단계를 포함하는, 상기 질화 실리콘 층 또는 상기 탄화 실리콘 층을 에칭하는 단계;
    상기 플라즈마 프로세싱 챔버 내에서 상기 실리콘 층을 에칭하는 단계로서,
    상기 플라즈마 프로세싱 챔버 내로 상기 질화 실리콘 에칭 가스와는 상이한, SF6 또는 SiF4 를 포함하는 실리콘 에칭 가스를 흐르게 하는 단계,
    상기 실리콘 층을 에칭하도록 상기 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 및
    상기 실리콘 에칭 가스의 흐름을 정지시키는 단계를 포함하는, 상기 실리콘 층을 에칭하는 단계; 및
    상기 플라즈마 프로세싱 챔버 내에서 상기 산화 실리콘 층을 에칭하는 단계로서,
    상기 플라즈마 프로세싱 챔버 내로 상기 실리콘 에칭 가스와는 상이한 산화 실리콘 에칭 가스를 흐르게 하는 단계,
    상기 산화 실리콘 층을 에칭하도록 상기 산화 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 및
    상기 산화 실리콘 에칭 가스의 흐름을 정지시키는 단계를 포함하는, 상기 산화 실리콘 층을 에칭하는 단계;
    를 포함하는 에칭 방법.
  2. 제1 항에 있어서,
    상기 실리콘 에칭 가스는 CH3F, 또는 CH2F2 또는 CH4를 더 포함하는, 에칭 방법.
  3. 제2 항에 있어서,
    상기 실리콘 에칭 가스는 Ar 또는 N2를 더 포함하는, 에칭 방법.
  4. 제3 항에 있어서,
    5 mTorr 내지 100 mTorr 의 압력을 제공하는 단계를 더 포함하는, 에칭 방법.
  5. 제4 항에 있어서,
    상기 질화 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 상기 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 및 상기 산화 실리콘 에칭 가스를 플라즈마로 형성하는 단계는, 에너지를 제공하도록 용량성 결합된 RF 신호를 사용하는, 에칭 방법.
  6. 제5 항에 있어서,
    상기 질화 실리콘 층 또는 상기 탄화 실리콘 층을 에칭하는 단계는 상기 실리콘 층의 일부를 에칭하는, 에칭 방법.
  7. 제5 항에 있어서,
    상기 질화 실리콘 층 또는 상기 탄화 실리콘 층을 에칭하는 단계는 상기 실리콘 층의 대부분을 에칭하는, 에칭 방법.
  8. 제5 항에 있어서,
    상기 질화 실리콘 층 에칭 가스 또는 상기 탄화 실리콘 층 에칭 가스는 플루오로카본 (fluorocarbon) 및 하이드로플루오로카본 (hydrofluorocarbon) 을 포함하고, 본질적으로 SF6 및 SiF4 가 없는, 에칭 방법.
  9. 제5 항에 있어서,
    상기 질화 실리콘 층 또는 상기 탄화 실리콘 층을 에칭하는 단계 전에 상기 플라즈마 프로세싱 챔버 내에 상기 스택을 위치시키는 단계; 및
    상기 산화 실리콘 층을 에칭하는 단계 후에 상기 플라즈마 프로세싱 챔버로부터 상기 스택을 제거하는 단계로서, 상기 질화 실리콘 층 또는 상기 탄화 실리콘 층을 에칭하는 단계, 상기 실리콘 층을 에칭하는 단계, 및 상기 산화 실리콘 층을 에칭하는 단계는 인시츄 (in situ) 방식으로 수행되는, 상기 스택을 제거하는 단계; 를 더 포함하는, 에칭 방법.
  10. 제1 항에 있어서,
    5 mTorr 내지 100 mTorr 의 압력을 제공하는 단계를 더 포함하는, 에칭 방법.
  11. 제1 항에 있어서,
    상기 질화 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 상기 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 및 상기 산화 실리콘 에칭 가스를 플라즈마로 형성하는 단계는, 에너지를 제공하도록 용량성 결합된 RF 신호를 사용하는, 에칭 방법.
  12. 제1 항에 있어서,
    상기 질화 실리콘 층 또는 상기 탄화 실리콘 층을 에칭하는 단계는 상기 실리콘 층의 일부를 에칭하는, 에칭 방법.
  13. 제1 항에 있어서,
    상기 질화 실리콘 층 또는 상기 탄화 실리콘 층을 에칭하는 단계는 상기 실리콘 층의 대부분을 에칭하는, 에칭 방법.
  14. 제1 항에 있어서,
    상기 질화 실리콘 층 에칭 가스 또는 상기 탄화 실리콘 층 에칭 가스는 플루오로카본 (fluorocarbon) 및 하이드로플루오로카본 (hydrofluorocarbon) 을 포함하고, 본질적으로 SF6 및 SiF4 가 없는, 에칭 방법.
  15. 제1 항에 있어서,
    상기 질화 실리콘 층 또는 상기 탄화 실리콘 층을 에칭하는 단계 전에 상기 플라즈마 프로세싱 챔버 내에 상기 스택을 위치시키는 단계; 및
    상기 산화 실리콘 층을 에칭하는 단계 후에 상기 플라즈마 프로세싱 챔버로부터 상기 스택을 제거하는 단계로서, 상기 질화 실리콘 층 또는 상기 탄화 실리콘 층을 에칭하는 단계, 상기 실리콘 층을 에칭하는 단계, 및 상기 산화 실리콘 층을 에칭하는 단계는 인시츄 (in situ) 방식으로 수행되는, 상기 스택을 제거하는 단계; 를 더 포함하는, 에칭 방법.
  16. 제1 항에 있어서,
    상기 실리콘 에칭 가스는 하이드로카본 (hydrocarbon) 을 더 포함하는, 에칭 방법.
  17. 플라즈마 프로세싱 챔버 내의 산화 실리콘 층 위의 실리콘 층 위의 탄화 실리콘 층 또는 질화 실리콘 층의 스택을 통해 피처들을 에칭하는 방법으로서,
    적어도 하나의 용량성 결합된 안테나를 갖는 상기 플라즈마 프로세싱 챔버 내에 상기 스택을 위치시키는 단계;
    상기 플라즈마 프로세싱 챔버 내에서 상기 질화 실리콘 층 또는 상기 탄화 실리콘 층을 에칭하는 단계로서,
    상기 플라즈마 프로세싱 챔버 내로 질화 실리콘 에칭 가스 또는 탄화 실리콘 에칭 가스를 흐르게 하는 단계,
    상기 적어도 하나의 용량성 결합된 안테나로부터의 전력을 사용하여 상기 질화 실리콘 층을 에칭하도록 상기 질화 실리콘 에칭 가스 또는 상기 탄화 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 및
    상기 질화 실리콘 에칭 가스 또는 상기 탄화 실리콘 에칭 가스의 흐름을 정지시키는 단계를 포함하는, 상기 질화 실리콘 층 또는 상기 탄화 실리콘 층을 에칭하는 단계;
    상기 플라즈마 프로세싱 챔버 내에서 상기 실리콘 층을 에칭하는 단계로서,
    상기 플라즈마 프로세싱 챔버 내로 상기 질화 실리콘 에칭 가스와는 상이한 실리콘 에칭 가스를 흐르게 하는 단계로서, 상기 실리콘 에칭 가스는 SF6 또는 SiF4 중 적어도 하나 및 CH3F, 또는 CH2F2 또는 CH4 중 적어도 하나 및 Ar 또는 N2 중 적어도 하나를 포함하는 상기 실리콘 에칭 가스를 흐르게 하는 단계,
    상기 적어도 하나의 용량성 결합된 안테나로부터의 전력을 사용하여 상기 실리콘 층을 에칭하도록 상기 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 및
    상기 실리콘 에칭 가스의 흐름을 정지시키는 단계를 포함하는, 상기 실리콘 층을 에칭하는 단계;
    상기 플라즈마 프로세싱 챔버 내에서 상기 산화 실리콘 층을 에칭하는 단계로서,
    상기 플라즈마 프로세싱 챔버 내로 상기 실리콘 에칭 가스와는 상이한 산화 실리콘 에칭 가스를 흐르게 하는 단계,
    상기 적어도 하나의 용량성 결합된 안테나로부터의 전력을 사용하여 상기 산화 실리콘 층을 에칭하도록 상기 산화 실리콘 에칭 가스를 플라즈마로 형성하는 단계, 및
    상기 산화 실리콘 에칭 가스의 흐름을 정지시키는 단계를 포함하는, 상기 산화 실리콘 층을 에칭하는 단계; 및
    상기 플라즈마 프로세싱 챔버로부터 상기 스택을 제거하는 단계;
    를 포함하는 에칭 방법.
KR1020147019392A 2011-12-13 2012-12-10 실리콘 온 인슐레이터 에칭 KR20140105567A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/324,895 US8906248B2 (en) 2011-12-13 2011-12-13 Silicon on insulator etch
US13/324,895 2011-12-13
PCT/IB2012/057128 WO2013088324A2 (en) 2011-12-13 2012-12-10 Silicon on insulator etch

Publications (1)

Publication Number Publication Date
KR20140105567A true KR20140105567A (ko) 2014-09-01

Family

ID=48572365

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147019392A KR20140105567A (ko) 2011-12-13 2012-12-10 실리콘 온 인슐레이터 에칭

Country Status (4)

Country Link
US (1) US8906248B2 (ko)
KR (1) KR20140105567A (ko)
TW (1) TWI576909B (ko)
WO (1) WO2013088324A2 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110223770A1 (en) * 2010-03-15 2011-09-15 Lam Research Corporation Nitride plasma etch with highly tunable selectivity to oxide
US9576815B2 (en) 2015-04-17 2017-02-21 Applied Materials, Inc. Gas-phase silicon nitride selective etch

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE756807A (fr) 1969-09-29 1971-03-29 Motorola Inc Procede pour la gravure non preferentielle du silicium par un melange gazeux, et melange gazeux pour cette gravure
US4330384A (en) * 1978-10-27 1982-05-18 Hitachi, Ltd. Process for plasma etching
US5924000A (en) 1997-09-19 1999-07-13 Vanguard International Semiconductor Corporation Method for forming residue free patterned polysilicon layer containing integrated circuit structures
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
JP2000353804A (ja) 1999-06-11 2000-12-19 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7129178B1 (en) 2002-02-13 2006-10-31 Cypress Semiconductor Corp. Reducing defect formation within an etched semiconductor topography
US6794230B2 (en) 2002-10-31 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Approach to improve line end shortening
JP4065213B2 (ja) 2003-03-25 2008-03-19 住友精密工業株式会社 シリコン基板のエッチング方法及びエッチング装置
US7682985B2 (en) 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
JP4694249B2 (ja) * 2005-04-20 2011-06-08 株式会社日立ハイテクノロジーズ 真空処理装置及び試料の真空処理方法
US7439135B2 (en) * 2006-04-04 2008-10-21 International Business Machines Corporation Self-aligned body contact for a semiconductor-on-insulator trench device and method of fabricating same
US7732340B2 (en) * 2006-08-08 2010-06-08 Tokyo Electron Limited Method for adjusting a critical dimension in a high aspect ratio feature
JP5194125B2 (ja) 2007-09-25 2013-05-08 ラム リサーチ コーポレーション シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法
US20100213555A1 (en) * 2009-02-23 2010-08-26 Advanced Micro Devices, Inc. Metal oxide semiconductor devices having capping layers and methods for fabricating the same

Also Published As

Publication number Publication date
TWI576909B (zh) 2017-04-01
US8906248B2 (en) 2014-12-09
WO2013088324A2 (en) 2013-06-20
TW201338035A (zh) 2013-09-16
WO2013088324A3 (en) 2015-08-13
US20130149869A1 (en) 2013-06-13

Similar Documents

Publication Publication Date Title
TWI774742B (zh) 矽氮化物之原子層蝕刻
KR102178834B1 (ko) 유전체 층들 내 피처들을 에칭하기 위한 방법
KR20190049482A (ko) 스택 내에 피처들을 에칭하기 위한 방법
TWI651805B (zh) 具有高角落選擇性的自我對準接觸窗/導通孔之形成方法
KR20190107159A (ko) 유전체 컨택트 에칭
KR101919641B1 (ko) 높은 에칭 레이트를 제공하기 위한 방법
CN107919264B (zh) 有关有机掩模的用于选择性地蚀刻氧化硅的方法
KR102264411B1 (ko) 저-k 필름에 대해 감소된 손상으로 유기 마스크를 박리하는 방법
KR20130036713A (ko) 펄싱된 바이어스로 에칭
US8691698B2 (en) Controlled gas mixing for smooth sidewall rapid alternating etch process
KR20190055261A (ko) 감소된 종횡비 의존성으로 선택적으로 에칭하는 방법
KR102139380B1 (ko) 제어된 위글링에 의한 에칭을 위한 방법
KR20140105567A (ko) 실리콘 온 인슐레이터 에칭
KR102658744B1 (ko) 섀도우 트림 라인 에지 거칠기 감소
KR102625934B1 (ko) 고 선택성 산소 프리 실리콘 나이트라이드 에칭
US9607848B2 (en) Etch process with pre-etch transient conditioning
KR102535484B1 (ko) 유기 층 에칭시 수직 프로파일들을 생성하기 위한 방법
US20140030893A1 (en) Method for shrink and tune trench/via cd
WO2024064526A1 (en) Method for etching features in a stack

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application