KR20160142787A - 패턴 처리 방법 - Google Patents

패턴 처리 방법 Download PDF

Info

Publication number
KR20160142787A
KR20160142787A KR1020160069090A KR20160069090A KR20160142787A KR 20160142787 A KR20160142787 A KR 20160142787A KR 1020160069090 A KR1020160069090 A KR 1020160069090A KR 20160069090 A KR20160069090 A KR 20160069090A KR 20160142787 A KR20160142787 A KR 20160142787A
Authority
KR
South Korea
Prior art keywords
monomer
group
pattern
block
composition
Prior art date
Application number
KR1020160069090A
Other languages
English (en)
Inventor
비풀 자인
밍키 리
화싱 주
종근 박
필립 디. 허스타드
진욱 성
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
다우 글로벌 테크놀로지스 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨, 다우 글로벌 테크놀로지스 엘엘씨 filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨
Publication of KR20160142787A publication Critical patent/KR20160142787A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F293/00Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D153/00Coating compositions based on block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Coating compositions based on derivatives of such polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/002Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor using materials containing microcapsules; Preparing or processing such materials, e.g. by pressure; Devices or apparatus specially designed therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/008Azides
    • G03F7/012Macromolecular azides; Macromolecular additives, e.g. binders
    • G03F7/0125Macromolecular azides; Macromolecular additives, e.g. binders characterised by the polymeric binder or the macromolecular additives other than the macromolecular azides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0384Macromolecular compounds which are rendered insoluble or differentially wettable with ethylenic or acetylenic bands in the main chain of the photopolymer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2053Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a laser
    • G03F7/2055Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a laser for the production of printing plates; Exposure of liquid photohardening compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Optics & Photonics (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

패턴 처리 방법은 하기 단계들을 포함한다: (a) 기판의 표면 상에서 패턴화된 특징을 포함하는 반도체 기판을 제공하는 단계; (b) 패턴 처리 조성물을 패턴화된 특징에 도포하는 단계로서, 상기 패턴 처리 조성물은 블록 코폴리머 및 용매를 포함하고, 상기 블록 코폴리머는 제1 블록 및 a 제2 블록을 포함하고, 상기 제1 블록은 에틸렌성으로 불포화된 중합성 기 및 수소 수용체 기를 포함하는 제1 모노머로부터 형성된 단위를 포함하고, 상기 수소 수용체 그룹은 질소-함유 기이고, 상기 제2 블록은 에틸렌성으로 불포화된 중합성 기 및 방향족 기를 포함하는 제2 모노머로부터 형성된 단위를 포함하고, 단, 상기 제2 모노머는 스티렌이 아닌 단계; 및 (c)  잔류 패턴 처리 조성물을 상기 기판으로부터 린스하고, 이로써 패턴화된 특징에 결합된 블록 코폴리머의 일부를 남기는 단계. 상기 방법은 고해상도 패턴을 제공하기 위해 반도체 소자의 제조에서 특정한 적용가능성을 발견한다.

Description

패턴 처리 방법{PATTERN TREATMENT METHODS}
본 발명은 일반적으로 전자 디바이스의 제조에 관한 것이다. 더 구체적으로, 본 발명은 패턴을 처리하는 방법 및 조성물에 관한 것이다. 상기 방법 및 조성물은 미세 패턴의 형성을 위한 네가티브 톤 현상 수축(shrink) 공정 중 반도체 소자의 제조에서의 특정 용도를 발견한다.
반도체 제조 산업에서, 포토레지스트 물질은 이미지를 하나 이상의 기저 층, 예컨대 반도체 기판 위에 배치된, 금속, 반도체 및 유전체 층, 뿐만 아니라 기판 자체에 전사하는데 사용된다. 반도체 소자의 집적도를 증가시키고 나노미터 범위의 치수를 갖는 구조를 형성하기 위해, 높은-분해 능력을 갖는 포토레지스트 및 포토리소그래피 처리 도구가 개발되었고 계속 개발될 것이다.
포지티브-톤 화학적으로 증폭된 포토레지스트는 통상적으로 포지티브 톤 현상 (PTD) 공정을 사용하는 높은-분해 처리에 사용된다. PTD 공정에서, 포토레지스트 층의 노출된 영역은 현상액, 전형적으로 수성 알칼리성 현상액에 가용성이고, 기판 표면으로부터 제거되며, 반면에 현상액 중 불용성인 노출되지 않은 영역은 현상 후 포지티브 이미지를 형성한 채 남아있다. 리소그래픽 성능을 향상시키기 위해, 액침 리소그래피 도구는 영상화 디바이스, 예를 들면, KrF 또는 ArF 광원을 갖는 스캐너의 렌즈의 개구수 (NA)를 효과적으로 증가시키도록 개발되었다. 이것은 영상화 디바이스의 최종 표면과 반도체 웨이퍼의 상면 사이에 상대적으로 높은 굴절률 유체 (즉, 침액)를 사용하여 달성된다.
물질 및 처리 관점 모두에서 포지티브 톤 현상에 의해 달성된 것을 넘어서 실제적인 분해를 확장하려는 노력이 상당히 이루어졌다. 하나의 그와 같은 예는 네가티브 톤 현상 (NTD) 공정이다. NTD 공정은 중요한 암시야 층을 인쇄하기 위해 명시야 마스크를 사용하여 수득된 우수한 영상화 품질을 이용함으로써 표준 포지티브 톤 영상화와 비교하여 향상된 분해 및 공정 윈도우를 가능하게 한다. NTD 레지스트는 전형적으로 산-불안정한 (또한 본원에서 일명 산-절단가능) 기를 갖는 수지 및 광산 발생제를 사용한다. 화학선 방사선으로의 노출은 광산 발생제가 산을 형성하게 하고, 후-노출 베이킹 동안, 산-불안정한 기의 절단을 유발하여 노출된 영역에서 극성 전환을 일으킨다. 그 결과, 레지스트의 노출된 및 노출되지 않은 영역 사이에 용해도 특성의 차이가 생성되며 이로써, 레지스트의 노출되지 않은 영역은 유기 용매 현상액에 의해 제거되고 불용성 노출된 영역에 의해 생성된 패턴이 이후에 남을 수 있다.
전형적으로 표준 레지스트 패터닝 기술에 의해 수득된 것들을 넘어서 분해 능력을 추가로 확장시키기 위해, 패턴 수축을 위한 다양한 공정이 제안되었다. 이들 공정은 간격, 예를 들면, 인접한 라인들 사이의 간격 또는 트렌치 또는 홀 패턴 내의 간격을 감소 (즉, 축소)시키도록 레지스트 패턴 측벽의 효과적인 두께를 증가시키는 것을 수반한다. 이런 식으로, 패턴으로부터 형성된 트렌치 및 컨택 홀과 같은 특징(feature)가 더 작게 제조될 수 있다. 공지된 축소 기술은, 예를 들면, 화학적 기상 증착 (CVD) 어시스트, 산 확산 레지스트 성장, 열류 및 폴리머 블렌드 자가-조립을 포함한다.
CVD 어시스트 수축 공정 (참조: K. Oyama et al, "The enhanced photoresist shrink process technique toward 22nm node", Proc . SPIE 7972, Advances in Resist Materials and Processing Technology XXVIII, 79722Q (2011))은, 예를 들면, 컨택 홀, 라인/공간 또는 트렌치 패턴을 포함하는 포토레지스트 패턴 위에 형성된 CVD-침착된 층을 사용한다. CVD 물질은 다시 에칭되어 레지스트 패턴의 측벽 위에 상기 물질을 남긴다. 이것은 레지스트 패턴의 효과적인 측면 치수을 증가시켜 에칭될 기저 층을 노출하는 개방 부위를 감소시킨다. CVD 어시스트 수축 기술은 고비용의 에칭 도구 및 CVD의 사용을 필요로 하며 공정을 더욱 복잡하게 하며 공정 처리량에 있어서 불리하다.
RELACS 공정으로도 지칭되는 산 확산 레지스트 성장 공정 (참조: L. Peters, "Resists Join the Sub-λ Revolution", Semiconductor International, 1999. 9)에서, 산-촉매된 가교결합성 물질은 PTD-발생된 레지스트 패터닝된 표면 위에 코팅된다. 상기 물질의 가교결합은 베이킹 단계 동안 가교결합성 물질로 확산하는 레지스트 패턴에 존재하는 산 성분에 의해 촉매된다. 가교결합은 산 확산 영역에서 레지스트 패턴 부근에 있는 물질에서 발생하여 패턴의 측벽 위에 코팅을 형성하고, 그렇게 함으로써 패턴의 개방 부위의 측면 치수를 감소시킨다. 이 공정은 전형적으로 등밀도(iso-dense) 바이어스 (IDB)를 겪으며, 여기서 레지스트 패턴 위의 가교결합된 층의 성장은 인접한 레지스트 패턴들의 밀도 (이들 사이의 간격)에 따라 다이 표면 전체에 결쳐 불균질하게 발생한다. 그 결과, 동일한 특징에 대한 "수축"의 정도가 다이 전체에 걸쳐서 패턴 밀도에 따라 달라질 수 있다. 이것은 동일한 디바이스인 것으로 의도된 것에 대해 다이 전체에 걸쳐서 패터닝 결함 및 전기적 특성의 변화를 야기할 수 있다.
폴리머 블렌드 자가-조립 (참조: Y. Namie et al, "Polymer blends for directed self-assembly", Proc . SPIE 8680, Alternative Lithographic Technologies V, 86801M (2013))은 포토레지스트 패턴 위에 친수성 및 소수성 폴리머의 불혼화성 블렌드를 함유하는 조성물을 코팅하는 것을 수반한다. 이어서 상기 조성물은 어닐링되고, 폴리머를 상 분리시키며, 여기서 친수성 폴리머는 우선적으로 레지스트 패턴 측벽에 격리되고 소수성 폴리머는 레지스트 패턴 측벽들 사이에서 나머지 용적을 채운다. 다음에, 소수성 폴리머를 용매 현상으로 제거하여 레지스트 패턴 측벽 위에 친수성 폴리머를 남게 한다. 폴리머 블렌드 자가-조립은 근접 및 사이즈 효과를 겪는 것으로 밝혀졌다. 수축 비가 2개의 폴리머의 용적 비에 의해 결정되기 때문에, 모든 피쳐는 동일한 절대적인 양이라기 보다는 동일한 상대 백분율까지 수축한다. 이것은 산 확산 레지스트 성장 기술에 대해 기재된 문제와 동일한 문제를 야기할 수 있다.
발명의 배경
반도체 제조 산업에서, 포토레지스트 물질은 이미지를 하나 이상의 기저 층, 예컨대 반도체 기판 위에 배치된, 금속, 반도체 및 유전체 층, 뿐만 아니라 기판 자체에 전사하는데 사용된다. 반도체 소자의 집적도를 증가시키고 나노미터 범위의 치수를 갖는 구조를 형성하기 위해, 높은-분해 능력을 갖는 포토레지스트 및 포토리소그래피 처리 도구가 개발되었고 계속 개발될 것이다.
포지티브-톤 화학적으로 증폭된 포토레지스트는 통상적으로 포지티브 톤 현상 (PTD) 공정을 사용하는 높은-분해 처리에 사용된다. PTD 공정에서, 포토레지스트 층의 노출된 영역은 현상액, 전형적으로 수성 알칼리성 현상액에 가용성이고, 기판 표면으로부터 제거되며, 반면에 현상액 중 불용성인 노출되지 않은 영역은 현상 후 포지티브 이미지를 형성한 채 남아있다. 리소그래픽 성능을 향상시키기 위해, 액침 리소그래피 도구는 영상화 디바이스, 예를 들면, KrF 또는 ArF 광원을 갖는 스캐너의 렌즈의 개구수 (NA)를 효과적으로 증가시키도록 개발되었다. 이것은 영상화 디바이스의 최종 표면과 반도체 웨이퍼의 상면 사이에 상대적으로 높은 굴절률 유체 (즉, 침액)를 사용하여 달성된다.
물질 및 처리 관점 모두에서 포지티브 톤 현상에 의해 달성된 것을 넘어서 실제적인 분해를 확장하려는 노력이 상당히 이루어졌다. 하나의 그와 같은 예는 네가티브 톤 현상 (NTD) 공정이다. NTD 공정은 중요한 암시야 층을 인쇄하기 위해 명시야 마스크를 사용하여 수득된 우수한 영상화 품질을 이용함으로써 표준 포지티브 톤 영상화와 비교하여 향상된 분해 및 공정 윈도우를 가능하게 한다. NTD 레지스트는 전형적으로 산-불안정한 (또한 본원에서 일명 산-절단가능) 기를 갖는 수지 및 광산 발생제를 사용한다. 화학선 방사선으로의 노출은 광산 발생제가 산을 형성하게 하고, 후-노출 베이킹 동안, 산-불안정한 기의 절단을 유발하여 노출된 영역에서 극성 전환을 일으킨다. 그 결과, 레지스트의 노출된 및 노출되지 않은 영역 사이에 용해도 특성의 차이가 생성되며 이로써, 레지스트의 노출되지 않은 영역은 유기 용매 현상액에 의해 제거되고 불용성 노출된 영역에 의해 생성된 패턴이 이후에 남을 수 있다.
전형적으로 표준 레지스트 패터닝 기술에 의해 수득된 것들을 넘어서 분해 능력을 추가로 확장시키기 위해, 패턴 수축을 위한 다양한 공정이 제안되었다. 이들 공정은 간격, 예를 들면, 인접한 라인들 사이의 간격 또는 트렌치 또는 홀 패턴 내의 간격을 감소 (즉, 축소)시키도록 레지스트 패턴 측벽의 효과적인 두께를 증가시키는 것을 수반한다. 이런 식으로, 패턴으로부터 형성된 트렌치 및 컨택 홀과 같은 특징(feature)가 더 작게 제조될 수 있다. 공지된 축소 기술은, 예를 들면, 화학적 기상 증착 (CVD) 어시스트, 산 확산 레지스트 성장, 열류 및 폴리머 블렌드 자가-조립을 포함한다.
CVD 어시스트 수축 공정 (참조: K. Oyama et al, "The enhanced photoresist shrink process technique toward 22nm node", Proc . SPIE 7972, Advances in Resist Materials and Processing Technology XXVIII, 79722Q (2011))은, 예를 들면, 컨택 홀, 라인/공간 또는 트렌치 패턴을 포함하는 포토레지스트 패턴 위에 형성된 CVD-침착된 층을 사용한다. CVD 물질은 다시 에칭되어 레지스트 패턴의 측벽 위에 상기 물질을 남긴다. 이것은 레지스트 패턴의 효과적인 측면 치수을 증가시켜 에칭될 기저 층을 노출하는 개방 부위를 감소시킨다. CVD 어시스트 수축 기술은 고비용의 에칭 도구 및 CVD의 사용을 필요로 하며 공정을 더욱 복잡하게 하며 공정 처리량에 있어서 불리하다.
RELACS 공정으로도 지칭되는 산 확산 레지스트 성장 공정 (참조: L. Peters, "Resists Join the Sub-λ Revolution", Semiconductor International, 1999. 9)에서, 산-촉매된 가교결합성 물질은 PTD-발생된 레지스트 패터닝된 표면 위에 코팅된다. 상기 물질의 가교결합은 베이킹 단계 동안 가교결합성 물질로 확산하는 레지스트 패턴에 존재하는 산 성분에 의해 촉매된다. 가교결합은 산 확산 영역에서 레지스트 패턴 부근에 있는 물질에서 발생하여 패턴의 측벽 위에 코팅을 형성하고, 그렇게 함으로써 패턴의 개방 부위의 측면 치수를 감소시킨다. 이 공정은 전형적으로 등밀도(iso-dense) 바이어스 (IDB)를 겪으며, 여기서 레지스트 패턴 위의 가교결합된 층의 성장은 인접한 레지스트 패턴들의 밀도 (이들 사이의 간격)에 따라 다이 표면 전체에 결쳐 불균질하게 발생한다. 그 결과, 동일한 특징에 대한 "수축"의 정도가 다이 전체에 걸쳐서 패턴 밀도에 따라 달라질 수 있다. 이것은 동일한 디바이스인 것으로 의도된 것에 대해 다이 전체에 걸쳐서 패터닝 결함 및 전기적 특성의 변화를 야기할 수 있다.
폴리머 블렌드 자가-조립 (참조: Y. Namie et al, "Polymer blends for directed self-assembly", Proc . SPIE 8680, Alternative Lithographic Technologies V, 86801M (2013))은 포토레지스트 패턴 위에 친수성 및 소수성 폴리머의 불혼화성 블렌드를 함유하는 조성물을 코팅하는 것을 수반한다. 이어서 상기 조성물은 어닐링되고, 폴리머를 상 분리시키며, 여기서 친수성 폴리머는 우선적으로 레지스트 패턴 측벽에 격리되고 소수성 폴리머는 레지스트 패턴 측벽들 사이에서 나머지 용적을 채운다. 다음에, 소수성 폴리머를 용매 현상으로 제거하여 레지스트 패턴 측벽 위에 친수성 폴리머를 남게 한다. 폴리머 블렌드 자가-조립은 근접 및 사이즈 효과를 겪는 것으로 밝혀졌다. 수축 비가 2개의 폴리머의 용적 비에 의해 결정되기 때문에, 모든 피쳐는 동일한 절대적인 양이라기 보다는 동일한 상대 백분율까지 수축한다. 이것은 산 확산 레지스트 성장 기술에 대해 기재된 문제와 동일한 문제를 야기할 수 있다.
요약
본 발명의 제1 측면에 따르면, 패턴 처리 방법이 제공된다. 상기 방법은 (a) 표면 위에 패터닝된 특징을 포함하는 반도체 기판을 제공하는 단계; (b) 패턴 처리 조성물을 패터닝된 특징에 도포하는 단계로서, 상기 패턴 처리 조성물은 블록 코폴리머 및 용매를 포함하고, 상기 블록 코폴리머는 제1 블록 및 제2 블록을 포함하며, 여기서 상기 제1 블록은 에틸렌성으로 불포화된 중합성 기, 및 질소-함유 기인 수소 수용체 기를 포함하는 제1 모노머로부터 형성된 단위를 포함하고, 상기 제2 블록은 에틸렌성으로 불포화된 중합성 기 및 방향족 기를 포함하는 제2 모노머로부터 형성된 단위를 포함하며, 단, 상기 제2 모노머는 스티렌이 아닌, 단계; (c) 상기 기판으로부터 잔류 패턴 처리 조성물을 린싱(rinsing)하여 패터닝된 특징에 결합된 블록 코폴리머의 일부만을 남게 하는 단계를 포함한다.
본원에서 기재된 방법에 의해 형성된 패턴 처리 조성물, 코팅된 기판 및 전자 디바이스이 또한 제공된다. 본 발명은 높은 분해 패턴을 제공하기 위한 반도체 소자의 제조에서의 특정한 적용성을 발견한다.
본원에 사용된 용어는 단지 특정한 구현예을 설명하는 목적이며 본 발명을 제한하고자 의도되지 않는다. 본원에서 사용된 바와 같이, 단수 형태 ("a", "an" 및 "the")는, 다르게 맥락상 명확히 명시되지 않으면, 단수 및 복수 형태 모두를 포함하는 것으로 의도된다. 폴리머 구조에 사용된 이니셜 "b" 및 "r"은 각각 블록 및 랜덤 폴리머를 나타낸다.
본 발명은 하기 도면을 참조하여 기재될 것이며, 여기서 유사 참조 숫자는 유사 특징을 나타내며, 여기서:
도 1a 내지 1f는 본 발명에 따른 패턴 처리 공정에 대한 공정 흐름이고;
도 2는 본 발명에 따른 패턴 처리 조성물로의 처리 전후 포토레지스트 패턴에 대한 선량(dose)의 함수로서의 CD의 플롯이고;
도 3은 본 발명에 따른 패턴 처리 조성물로의 처리 전후 포토레지스트 패턴에 대한 선량의 함수로서의 CD의 플롯이다.
패턴 처리 조성물
본 발명의 패턴 처리 조성물은 블록 코폴리머 및 유기 용매를 포함하며, 하나 이상의 추가의 임의의 성분을 포함할 수 있다. 블록 코폴리머는 제1 블록 및 제2 블록을 포함한다. 제1 블록은 에틸렌성으로 불포화된 중합성 기 및 질소-함유 기인 수소 수용체 기를 포함하는 제1 모노머로부터 형성된 단위를 포함한다. 제2 블록은 에틸렌성으로 불포화된 중합성 기 및 방향족 기를 포함하는 제2 모노머로부터 형성된 단위를 포함하며, 단, 상기 제2 모노머는 스티렌이 아니다. 조성물은, 패턴, 예를 들면, NTD-형성된 포토레지스트 패턴 예컨대 컨택 홀(contact hole), 트렌치(trench) 또는 라인 및 공간 패턴 위에 코팅될 때, 최소 배선폭(feature size) 또는 밀도와 무관하게 일관된 수축 값을 가능하게 한다. 즉, 조성물은 최소의 근접 바이어스(proximity bias)를 나타내거나 근접 바이어스가 없는 수축 패턴을 가능하게 한다. 또한, 패턴 처리 조성물은 스핀-코팅 도구를 사용하여 코팅될 수 있으며, 그렇게 함으로써 공정이 간소화되고 포토레지스트 패터닝 공정과의 통합이 용이해진다.
블록 코폴리머는 전형적으로 에틸렌성으로 불포화된 중합성 기를 갖는 모노머로부터 형성된 단위로 구성된다. 바람직한 그와 같은 모노머는 에틸렌성으로 불포화된 중합성 기 예컨대 비닐, 예를 들면, 임의로 플루오르화된 (C1 내지 C3 알킬)아크릴레이트 및 비닐 방향족 모노머로부터 독립적으로 선택된 중합성 기를 갖는 것들이다. 적합한 할로알킬아크릴레이트는, 예를 들면, 플루오로알킬, 클로로알킬, 브로모알킬 및 아이오도알킬아크릴레이트를 포함하며, 플루오로알킬아크릴레이트가 바람직하다. 블록 코폴리머는 임의로 할로알킬 기, 예를 들면, 플루오로알킬, 클로로알킬, 브로모알킬 및/또는 아이오도알킬이 없을 수 있다.
패턴 처리 조성물에 적합한 블록 코폴리머는 2 이상의 블록, 예를 들면, 2, 3, 4 또는 그 이상의 블록을 포함할 수 있다. 코폴리머를 구성하는 하나 이상의 블록은, 예를 들면, 선형 블록, 분지형 블록, 성상형 블록, 수지상 블록 및 이들의 조합으로부터 독립적으로 선택될 수 있다. 전형적으로, 블록 코폴리머는 선형 코폴리머이며, 여기서 코폴리머의 각각의 블록은 선형이다. 코폴리머의 블록은, 예를 들면, 단독중합체 또는 2 이상의 상이한 단위, 예를 들면 2, 3, 4 또는 그 이상의 상이한 단위를 함유하는 코폴리머로서 형성될 수 있다.
블록 코폴리머는, 예를 들면, 레지스트 패터닝 공정 동안 탈보호의 결과로서 레지스트 패턴 표면에 존재하는 산 및/또는 알코올 기과 결합함으로써 NTD-형성된 포토레지스트 패턴에 부착될 수 있다. 블록 코폴리머는 상기 블록 코폴리머를 레지스트 패턴의 탈보호된 기에 부착하기 위한 고착 기를 포함하는 제1 블록을 갖는다. 고착 성분은 질소-함유 수소 수용체 기를 포함하는 단위를 포함한다. 블록 코폴리머는 레지스트 패턴의 치수를 효과적으로 증가시키도록 블록 코폴리머에 추가의 길이를 더하기 위한 제1 블록에 직접적으로 또는 간접적으로 부착된 제2 블록을 갖는다. 제2 블록은 사이클릭 지방족 모이어티를 포함하는 단위를 포함한다. 제2 블록은 바람직하게는 낮은 선폭 조도 (LWR)를 갖는 평활면을 제공하는데 효과적이다.
제1 블록의 고착 기은 폴리머 골격에 매달린다. 제1 블록에 대한 폴리머 골격은 전형적으로 에틸렌성으로 불포화된 중합성 기, 바람직하게는 비닐, 예를 들면, (C1 내지 C3 알킬 또는 할로알킬)아크릴레이트 예컨대 플루오로알킬아크릴레이트, 및 비닐 피리딘을 갖는 모노머로부터 형성된 단위로 구성된다. 수소 수용체를 함유하는 기은 레지스트 패턴의 표면에 있는 탈보호된 산 기 및/또는 알코올 기과 함께, 결합, 바람직하게는 이온성 또는 수소 결합을 형성하기에 효과적이다. 수소 수용체를 함유하는 기은 질소-함유 기가다. 적합한 질소-함유 기은 레지스트 패턴의 표면에서 산 기과 이온 결합을 형성할 수 있다. 적합한 질소-함유 기은, 예를 들면, 하기로부터 선택된 하나 이상의 기를 포함한다: 아민, 예를 들면, 일차 아민 예컨대 N-메틸아민, N-에틸아민, 1-아미노프로판, 2-아미노프로판 및 N-t-부틸아민, 2차 아민 예컨대 디메틸아민, 메틸에틸아민 및 디에틸아민, 및 3차 아민 예컨대 트리메틸아민; 아미드, 예를 들면, 알킬아미드 예컨대 N-메틸아미드, N-에틸아미드, N-페닐아미드 및 N,N-디메틸아미드; 이민, 예를 들면, 일차 및 2차 알디민 및 케티민; 디아진, 예를 들면 임의로 치환된 피라진, 피페라진, 펜아진; 디아졸, 예를 들면, 임의로 치환된 피라졸, 티아디아졸 및 이미다졸; 임의로 치환된 피리딘, 예를 들면, 피리딘, 2-비닐피리딘 및 4-비닐피리딘; 피리디늄; 임의로 치환된 피롤리돈, 예를 들면, 2-피롤리돈, N-비닐피롤리돈 및 사이클로헥실 피롤리딘; 및 이들의 조합. 이들 중에서, 아민, 아미드 및 비닐피리딘이 바람직하다. 질소-함유 기은 임의로 폴리머 골격에 매달린 환 형태, 예를 들면, 피리딘, 인돌, 이미다졸, 트리아진, 피롤리딘, 아자사이클로프로판, 아자사이클로부탄, 피페리딘, 피롤, 퓨린, 디아제티딘, 디티아진, 아조칸, 아조난, 퀴놀린, 카바졸, 아크리딘, 인다졸 및 벤즈이미다졸을 채택할 수 있다. 바람직하게는, 질소-함유 기은 입체적 이유로 질소 원자에 대해 알파 위치에서 3개 미만, 2개 미만 또는 1개 미만 (즉, 0개) 분지형 탄소를 갖는다. 제1 블록은 전형적으로 산-불안정한 기가 없다.
제1 블록에 적합한 모노머 단위는, 예를 들면, 2-(N,N-디메틸아미노)에틸 메타크릴레이트, 2-(N,N-디에틸아미노)에틸 메타크릴레이트, 2-(tert-부틸아미노)에틸 메타크릴레이트, 2-N-모폴리노에틸 아크릴레이트, 2-N-모폴리노에틸 메타크릴레이트, 3-디메틸아미노네오펜틸 아크릴레이트, N-(t-BOC-아미노프로필) 메타크릴아미드, N-[2-(N,N-디메틸아미노)에틸]메타크릴아미드, N-[3-(N,N-디메틸아미노)프로필]아크릴아미드, N-[3-(N,N-디메틸아미노)프로필]메타크릴아미드, 2-비닐피리딘, 4-비닐피리딘, N-(3-아미노프로필)메타크릴아미드, 2-아미노에틸 메타크릴레이트, 2-(디메틸아미노)스티렌, 4-N-tert-부톡시카보닐 피페리딘-1-메타크릴레이트, 4-(디메틸아미노)스티렌, 및 N-비닐피롤리돈을 포함한다.
제2 블록은 에틸렌성으로 불포화된 중합성 기 및 펜던트 방향족 기를 갖는 모노머로부터 형성된 단위를 포함한다. 본 발명의 일 측면에 따르면, 모노머는 스티렌이 아니다. 적합한 방향족 기은 특별히 제한되지 않으며, 모노사이클릭 및/또는 폴리사이클릭 구조를 포함한다. 적합한 폴리사이클릭 구조는, 예를 들면, 융합된-구조 (예를 들면, 나프틸) 또는 묶여진(tethered)-구조 (예를 들면, 바이페닐), 또는 이들의 조합일 수 있다. 적합한 방향족 기은, 예를 들면, 임의로 치환된 벤질, 페닐, 바이페닐, 나프틸, 안트라세닐, 펜안트레닐, 크리실, 피릴, 벤조[a]피릴, 피리딜, 쿠메닐, 메시틸, 톨릴, 크실릴, 및 그것의 유도체를 포함한다. 방향족 기은 하나 이상의 헤테로원자를 포함할 수 있다. 방향족 기은, 예를 들면, 할로, 알킬 및 헤테로알킬 치환체로부터 선택된 하나 이상의 치환체로 임의로 치환된다.
제2 블록의 에틸렌성으로 불포화된 중합성 기를 갖는 모노머는 바람직하게는 하기 일반식 (I)의 비닐 방향족 모노머 또는 일반식 (II)의 (알킬 또는 할로알킬)아크릴레이트 모노머이다:
Figure pat00001
식 중: R1은 수소 및 C1 내지 C3 알킬 또는 할로알킬 예컨대 플루오로-, 클로로-, 아이오도- 또는 브로모알킬로부터 선택되고, 수소가 전형적이며; R2는 수소, 할로겐 (F, Cl, I 또는 Br), 및 임의로 치환된 알킬 예컨대 임의로 치환된 C1 내지 C10 선형 또는 분지형 알킬 또는 C3 내지 C8 사이클릭 알킬, 임의로 치환된 아릴 예컨대 C5 내지 C25, C5 내지 C15 또는 C5 내지 C10 아릴 또는 C6 내지 C30, C6 내지 C20 또는 C6 내지 C15 아르알킬로부터 독립적으로 선택되며, 임의로 -O-, -S-, -C(O)O- 및 -OC(O)-로부터 선택된 하나 이상의 연결 모이어티를 포함하고, 여기서 2 이상의 R2 기은 임의로 하나 이상의 고리를 형성하고; a는 0 내지 5의 정수이며, a가 0일 때, R1은 수소가 아니고;
Figure pat00002
식 중: R3은 수소 및 C1 내지 C3 알킬 또는 할로알킬 예컨대 플루오로-, 클로로-, 아이오도- 또는 브로모알킬로부터 선택되고; Ar은 임의로 치환된 방향족 기 예컨대 임의로 치환된 C5 내지 C25, C5 내지 C15 또는 C5 내지 C10 아릴, 예를 들면, 임의로 치환된 벤질, 페닐, 바이페닐, 나프틸, 안트라세닐, 펜안트레닐, 크리실, 피릴, 벤조[a]피릴, 피리딜, 쿠메닐, 메시틸, 톨릴, 크실릴, 및 그것의 유도체로부터 선택되고; Z1은 임의로 치환된 지방족 및 방향족 기, 및 이들의 조합으로부터 선택되고, 임의로 -O-, -S-, -C(O)O- 및 -OC(O)-로부터 선택된 하나 이상의 연결 모이어티를 포함하는, 단일 결합 또는 스페이서 단위이다.
제2 블록에 적합한 에틸렌성으로 불포화된 중합성 기 및 펜던트 방향족 기를 갖는 모노머는, 예를 들면, 하기로부터 선택된 모노머를 포함한다:
Figure pat00003
Figure pat00004
블록 코폴리머에 적합한 제2 블록은, 예를 들면, 상기-기재된 모노머의 단독중합체를 포함한다. 상기에서 기재된 바와 같은 모노머로부터 형성된 하나 이상의 단위를 포함하는 랜덤 및 블록 코폴리머, 예를 들면, 하기로부터 선택된 코폴리머가 또한 적합하다:
Figure pat00005
Figure pat00006
하나 이상의 추가의 블록은 임의로 블록 코폴리머에 포함될 수 있다. 추가의 블록은 제1 및 제2 블록에 대해 기재된 유형의 추가의 블록을 하나 이상 포함할 수 있고/있거나 다른 유형의 블록을 포함할 수 있다. 추가의 블록의 사용은, 예를 들면, 블록 코폴리머의 특성 예컨대 수축 (패턴 성장) 양, 에칭 내성, 용해도, Tg, 및 현상액 중 용해율 중 하나 이상의 특성을 개질시키는데 사용될 수 있다. 추가의 블록은 바람직하게는 에틸렌성으로 불포화된 중합성 기 예컨대 제1 및 제2 블록에 대해 상기에서 기재된 바와 같은 비닐 기를 갖는 모노머로부터 형성된다. 추가의 블록은, 존재한다면, 전형적으로 제2 블록에 결합된다.
바람직한 측면에서, 지방족 기를 함유하는 추가의 블록은 블록 코폴리머에 포함될 수 있다. 적합한 지방족 기은 포화되거나 불포화될 수 있고, 선형, 분지형, 사이클릭 또는 이들의 조합일 수 있다. 임의로 치환된 C1 내지 C20 선형 또는 분지형 알킬, 또는 임의로 치환된 C3 내지 C20 사이클로알킬이 바람직하다. 모노사이클릭 및 폴리사이클릭 구조를 포함하는 사이클릭 지방족 기가 특히 바람직하다. 폴리사이클릭 구조는, 예를 들면, 융합된-, 브리지- 또는 묶여진-구조일 수 있다. 적합한 사이클릭 지방족 기은, 예를 들면, 임의로 치환된 C3 내지 C20 사이클릭 기, 예를 들면, 임의로 치환된 사이클로프로필, 사이클로펜틸, 사이클로헥실, 아다만틸, 2-메틸-2-아다만틸, 노르보르닐, 보르닐, 이소보르닐, 트리사이클로데카닐, 디사이클로펜테닐, 노르보르난에폭시, 멘틸, 이소멘틸, 네오멘틸, 및 테트라사이클로도데카닐로부터 선택된 기를 포함한다. 이들 중에서, 임의로 치환된 사이클로헥실, 아다만틸 및 노르보르닐 기가 바람직하다. 적합한 지방족 기-함유 블록은, 예를 들면, 임의로 하나 이상의 추가의 모노머와 함께 하기 모노머 중 하나 이상으로부터 형성된 것들을 포함한다:
Figure pat00007
Figure pat00008
적합한 블록 코폴리머를 선택하여, 레지스트 패턴 측벽 위의 폴리머의 성장 양을 정확하게 조절할 수 있다. 이 두께는, 예를 들면, 제1, 제2 및 임의의 추가의 블록에 적합한 분자량을 선택함으로써 조절될 수 있으며, 더 높은 분자량은 전형적으로 더 큰 두께를 초래하고 더 낮은 분자량은 전형적으로 더 작은 두께를 초래한다. 블록 코폴리머의 화학적 조성물은 또한 성장 양에 영향을 줄 수 있다. 예를 들면, 더 긴 혼란되지 않은 말단간(end-to-end) 거리 또는 특징적인 비를 갖는 폴리머는 주어진 분자량에 대해 더 큰 수축을 제공한다.
블록 코폴리머는 상기 조성물에 사용된 유기 용매 및 기판으로부터의 과잉의 폴리머 (즉, 레지스트 패턴에 부착되지 않은 폴리머)를 린스하고 제거하는데 사용된 유기 용매에서 우수한 용해도를 가질 것이다. 레지스트 처리 조성물 중 블록 코폴리머의 함량은, 예를 들면, 레지스트 처리 조성물의 원하는 코팅 두께에 의존적일 것이다. 블록 코폴리머는 전형적으로 조성물의 총 고형물을 기반으로 80 내지 99 wt%, 더욱 전형적으로 90 내지 99 wt%의 양으로 조성물에 존재한다. 상기 폴리머의 중량 평균 분자량은 전형적으로 400,000 미만, 바람직하게는 5000 내지 200,000, 더 바람직하게는 1000 내지 125,000 g/mol이다.
상기 폴리머는 바람직하게는 패턴 전사를 용이하게 하는 우수한 에칭 내성을 갖는다. 탄소 기반 폴리머의 경우, "오니시(Ohnishi) 파라미터"가 일반적으로 폴리머의 에칭 내성의 인디케이터로서 사용될 수 있다 (J. Electrochem Soc, 143, 130 (1983), H. Gokan, S. Esho and Y. Ohnishi). 오니시 파라미터는 일반적으로 폴리머의 탄소 밀도를 지시하는데 사용되며 구체적으로 하기 방정식에 의해 결정된다:
N/(NC - NO) = 오니시 파라미터
여기서 N은 탄소 원자, 수소 원자 및 산소 원자의 조합된 총수이고, NC는 탄소 원자의 수이고, NO는 산소 원자의 수이다. 단위 용적당 폴리머의 탄소 밀도의 증가 (즉, 오니시 파라미터의 증가)는 이의 에칭 내성을 향상시킨다. 본 발명에 유용한 탄소-기반 폴리머에 대한 오니시 파라미터는 전형저으로 4.5 미만, 바람직하게는 4 미만, 더 바람직하게는 3.5 미만이다.
본 발명의 조성물에 유용한 적합한 블록 코폴리머는, 예를 들면, 하기를 포함한다:
Figure pat00009
Figure pat00010
Figure pat00011
패턴 처리 조성물은 전형적으로 단일 블록 코폴리머를 포함하지만, 임의로 상기에서 기재된 바와 같은 하나 이상의 추가의 블록 코폴리머 및/또는 다른 폴리머를 포함할 수 있다. 패턴 처리 조성물에 사용하기에 적합한 블록 코폴리머는 상업적으로 이용가능하고/하거나 당해분야의 숙련가에 의해 쉽게 제작될 수 있다. 블록 코폴리머는 금속 및/또는 비-금속 불순물의 제거를 위해 패턴 처리 조성물 중 다른 성분과 조합되기 전에 정제될 수 있다. 정제는, 예를 들면, 세정, 슬러리화, 원심분리, 여과, 증류, 경사분리, 증발 및 이온 교환 비드에 의한 처리 중 하나 이상을 수반할 수 있다.
패턴 처리 조성물은 추가로 단일 유기 용매 또는 유기 용매의 혼합물의 형태일 수 있는 유기 용매를 포함한다. 패턴 처리 조성물을 제조하고 캐스팅하는데 적합한 용매 물질은 상기 조성물의 비-용매 성분에 대해 탁월한 용해도 특성을 나타내지만, 기저 포토레지스트 패턴을 눈에 띄게 용해시키지 않는다. 패턴 처리 조성물에 적합한 유기 용매는, 예를 들면, 하기를 포함한다: 알킬 에스테르 예컨대 n-부틸 아세테이트, n-부틸 프로피오네이트, n-펜틸 프로피오네이트, n-헥실 프로피오네이트 및 n-헵틸 프로피오네이트, 및 알킬 부티레이트 예컨대 n-부틸 부티레이트, 이소부틸 부티레이트 및 이소부틸 이소부티레이트; 케톤 예컨대 2-헵타논, 2,6-디메틸-4-헵타논 및 2,5-디메틸-4-헥사논; 지방족 탄화수소 예컨대 n-헵탄, n-노난, n-옥탄, n-데칸, 2-메틸헵탄, 3-메틸헵탄, 3,3-디메틸헥산 및 2,3,4-트리메틸펜탄, 및 플루오르화된 지방족 탄화수소 예컨대 퍼플루오로헵탄; 및 알코올 예컨대 직쇄형, 분지형 또는 사이클릭 C4-C9 1가 알코올 예컨대 1-부탄올, 2-부탄올, 3-메틸-1-부탄올, 이소부틸 알코올, tert-부틸 알코올, 1-펜타놀, 2-펜타놀, 1-헥산올, 1-헵타놀, 1-옥탄올, 2-헥산올, 2-헵타놀, 2-옥탄올, 3-헥산올, 3-헵타놀, 3-옥탄올 및 4-옥탄올; 2,2,3,3,4,4-헥사플루오로-1-부탄올, 2,2,3,3,4,4,5,5-옥타플루오로-1-펜타놀 및 2,2,3,3,4,4,5,5,6,6-데카플루오로-1-헥산올, 및 C5-C9 플루오르화된 디올 예컨대 2,2,3,3,4,4-헥사플루오로-1,5-펜탄디올, 2,2,3,3,4,4,5,5-옥타플루오로-1,6-헥산디올 및 2,2,3,3,4,4,5,5,6,6,7,7-도데카플루오로-1,8-옥탄디올; 톨루엔, 아니솔 및 이들 용매 중 하나 이상을 함유하는 혼합물. 이들 유기 용매 중에서, 알킬 프로피오네이트, 알킬 부티레이트 및 케톤, 바람직하게는 분지형 케톤이 바람직하며, C8-C9 알킬 프로피오네이트, C8-C9 알킬 프로피오네이트, C8-C9 케톤, 및 이들 용매 중 하나 이상을 함유하는 혼합물이 더 바람직하다. 적합한 혼합 용매는, 예를 들면, 상기 기재된 알킬 케톤 및 알킬 프로피오네이트와 같은 알킬 케톤 및 알킬 프로피오네이트의 혼합물을 포함한다. 상기 조성물 중 용매 성분은 전형적으로 총 조성물을 기반으로 90 내지 99 wt%의 양으로 존재한다.
패턴 처리 조성물은, 예를 들면, 계면활성제 및 항산화제를 포함하는 하나 이상의 임의의 첨가제를 포함할 수 있다. 그와 같은 임의의 첨가제는 사용된다면 각각 전형적으로 소량 예컨대 조성물 중 총 고형물을 기반으로 0.01 내지 10 wt%의 양으로 조성물에 존재한다.
전형적인 계면활성제는 양친매성 성질을 나타내는 것들을 포함하며, 이는 상기 계면활성제가 동시에 친수성 및 소수성 둘 모두일 수 있음을 의미한다. 양친매성 계면활성제는 물에 강한 친화성을 갖는 친수성 헤드 기 또는 기들, 및 친유기성(organophilic)이고 물을 물리치는 긴 소수성 꼬리를 갖는다. 적합한 계면활성제는 이온성 (즉, 음이온성, 양이온성) 또는 비이온성일 수 있다. 계면활성제의 추가의 예는 실리콘 계면활성제, 폴리(알킬렌 옥사이드) 계면활성제, 및 플루오로화학적 계면활성제를 포함한다. 적합한 비-이온성 계면활성제는, 비제한적으로, 옥틸 및 노닐 페놀 에톡실레이트 예컨대 트리톤® X-114, X-100, X-45, X-15 및 분지형 2차 알코올 에톡실레이트 예컨대 테르지톨™ TMN-6 (더 다우 케미칼 컴파니(The Dow Chemical Company), Midland, Michigan USA)을 포함한다. 또 추가의 예시적인 계면활성제는 알코올 (일차 및 2차) 에톡실레이트, 아민 에톡실레이트, 글루코사이드, 글루카민, 폴리에틸렌 글리콜, 폴리(에틸렌 글리콜-코-프로필렌 글리콜), 또는 문헌(참조: McCutcheon's Emulsifiers and Detergents , North American Edition for the Year 2000 published by Manufacturers Confectioners Publishing Co. of Glen Rock, N.J.)에 개시된 다른 계면활성제를 포함한다. 아세틸렌성 디올 유도체인 비이온성 계면활성제도 또한 적합할 수 있다. 그와 같은 계면활성제는 펜실베이니아주 앨런타운 소재의 에어 프로덕츠 앤드 케미칼즈, 인코포레이티드(Air Products and Chemicals, Inc.)로부터 상업적으로 이용가능하며 서피놀(SURFYNOL) 및 디놀(DYNOL)의 상표명으로 시판된다. 추가의 적합한 계면활성제는 트리-블록 EO-PO-EO 코-폴리머 플루로닉(PLURONIC) 25R2, L121, L123, L31, L81, L101 및 P123 (BASF, Inc.)과 같은 다른 폴리머 화합물을 포함한다.
항산화제는 패턴 처리 조성물에서 유기 물질의 산화를 방지하거나 최소화하는데 부가될 수 있다. 적합한 항산화제는, 예를 들면, 페놀-기반 항산화제, 유기산 유도체로 구성된 항산화제, 황-함유 항산화제, 인-기반 항산화제, 아민-기반 항산화제, 아민-알데하이드 축합물로 구성된 항산화제 및 아민-케톤 축합물로 구성된 항산화제를 포함한다. 페놀-기반 항산화제의 예는 치환된 페놀 예컨대 1-옥시-3-메틸-4-이소프로필벤젠, 2,6-디-tert-부틸페놀, 2,6-디-tert-부틸-4-에틸페놀, 2,6-디-tert-부틸-4-메틸페놀, 4-하이드록시메틸-2,6-디-tert-부틸페놀, 부틸·하이드록시아니솔, 2-(1-메틸사이클로헥실)-4,6-디메틸페놀, 2,4-디메틸-6-tert-부틸페놀, 2-메틸-4,6-디노닐페놀, 2,6-디-tert-부틸-α-디메틸아미노-p-크레졸, 6-(4-하이드록시-3,5-디-tert-부틸·아닐리노)2,4-비스·옥틸-티오-1,3,5-트리아진, n-옥타데실-3-(4'-하이드록시-3',5′'-디-tert-부틸·페닐)프로피오네이트, 옥틸화된 페놀, 아르알킬-치환된 페놀, 알킬화된 p-크레졸 및 힌더드 페놀; 비스-, 트리스- 및 폴리-페놀 예컨대 4,4'-디하이드록시·디페닐, 메틸렌·비스(디메틸-4,6-페놀), 2,2'-메틸렌-비스-(4-메틸-6-tert-부틸페놀), 2,2'-메틸렌-비스-(4-메틸-6-사이클로헥실·페놀), 2,2'-메틸렌-비스-(4-에틸-6-tert-부틸페놀), 4,4'-메틸렌-비스-(2,6-디-tert-부틸페놀), 2,2'-메틸렌-비스-(6-α-메틸-벤질-p-크레졸), 메틸렌-가교결합된 다가 알킬페놀, 4,4'-부틸리덴비스-(3-메틸-6-tert-부틸페놀), 1,1-비스-(4-하이드록시페닐)-사이클로헥산, 2,2'-디하이드록시-3,3'-디-(α-메틸사이클로헥실)-5,5'-디메틸·디페닐메탄, 알킬화된 비스페놀, 힌더드 비스페놀, 1,3,5-트리메틸-2,4,6-트리스(3,5-디-tert-부틸-4-하이드록시벤질)벤젠, 트리스-(2-메틸-4-하이드록시-5-tert-부틸페닐)부탄, 및 테트라키스-[메틸렌-3-(3',5′'-디-tert-부틸-4'-하이드록시페닐)프로피오네이트]메탄을 포함한다. 적합한 항산화제는 상업적으로 이용가능하며, 예를 들면, 이르가녹스(Irganox™) 항산화제 (시바 스페셜티 케미칼즈 코포레이션(Ciba Specialty Chemicals Corp.))이다.
패턴 처리 조성물은 바람직하게는 산 확산 레지스트 성장 공정에서 전형적으로 사용되는 바와 같은 가교결합제를 포함하지 않는다. 이들 공정은, 예를 들면, 남아있는 포토레지스트에서의 산의 농도로 인해 단리된 홀이 밀집한 홀보다 더 많이 수축하는, 근접 및 사이즈 바이어스를 겪는 것으로 알려져 있다. 패턴 처리 조성물은, 가교결합제를 포함하지 않는 외에도, 바람직하게는 산, 산 발생제 화합물, 예를 들면, 열적 산 발생제 화합물 및 광산 발생제 화합물을 포함하지 않으며, 그 이유는 그와 같은 화합물이 조성물 중 고착 작용기를 갖는 레지스트의 산/알코올과 경쟁함으로써 조성물에 의해 달성되는 트렌치의 양/홀 수축을 제한할 수 있기 때문이다.
패턴 처리 조성물은 공지된 절차에 따라 제조될 수 있다. 예를 들면, 상기 조성물은 용매 성분에 블록 코폴리머 및 조성물 중 임의의 다른 고형 성분을 용해시켜 제조될 수 있다. 상기 조성물의 원하는 총 고형물 함량은 상기 조성물 중 특정한 폴리머(들) 및 원하는 최종 층 두께와 같은 인자에 의존적일 것이다. 바람직하게는, 패턴 처리 조성물의 고형물 함량은 상기 조성물의 총 중량을 기반으로 1 내지 10 wt%, 더 바람직하게는 1 내지 5 wt%이다.
포토레지스트 조성물
본 발명에 유용한 포토레지스트 조성물은 산-민감성인 매트릭스 수지를 포함하는 화학적으로-증폭된 포토레지스트 조성물을 포함하며, 이는 수지 및 조성물 층이, 포토레지스트 조성물 층의 일부로서, 소프트 베이크, 활성화 방사선으로의 노출 및 노광후 베이크 후 광산 발생제에 의해 발생된 산과의 반응의 결과로서 유기 현상액에서 용해도 변화를 겪음을 의미한다. 용해도 변화는 매트릭스 폴리머 중 산-절단가능 이탈 기 예컨대 광산-불안정한 에스테르 또는 아세탈 기가 활성화 방사선 및 열처리로의 노출시 광산-촉진된 탈보호 반응을 겪어 산 또는 알코올 기를 생산할 때 초래된다. 본 발명에 유용한 적합한 포토레지스트 조성물은 상업적으로 이용가능하다.
어떤 하위-200nm 파장 예컨대 193nm에서의 영상화를 위해, 매트릭스 폴리머는 전형적으로 방사선을 크게 흡수하는, 페닐, 벤질 또는 다른 방향족 기가 실질적으로 없거나 (예를 들면, 15 mol% 미만) 또는 완전히 없다. 바람직한 산 불안정한 기은, 예를 들면, 매트릭스 폴리머의 에스테르의 카복실 산소에 공유 결합된 3차 비환식 알킬 탄소 (예를 들면, t-부틸) 또는 3차 지환족 탄소 (예를 들면, 메틸아다만틸)를 함유하는 아세탈 기 또는 에스테르 기를 포함한다. 적합한 매트릭스 폴리머는 추가로 (알킬)아크릴레이트 단위, 바람직하게는 산-불안정한 (알킬)아크릴레이트 단위, 예컨대 t-부틸 아크릴레이트, t-부틸 메타크릴레이트, 메틸아다만틸 아크릴레이트, 메틸 아다만틸 메타크릴레이트, 에틸펜칠 아크릴레이트, 에틸펜칠 메타크릴레이트 등, 및 다른 비환식 알킬 및 지환족 (알킬)아크릴레이트를 함유하는 폴리머를 포함한다. 다른 적합한 매트릭스 폴리머는, 예를 들면, 비-방향족 사이클릭 올레핀 (엔도사이클릭 이중 결합) 예컨대 임의로 치환된 노르보르넨의 중합 단위를 함유하는 것들을 포함한다. 상기-기재된 매트릭스 폴리머 중 2 이상의 블렌드가 포토레지스트 조성물에 적합하게 사용될 수 있다.
포토레지스트 조성물에 사용하기에 적합한 매트릭스 폴리머는 상업적으로 이용가능하고 당해분야의 숙련가에 의해 쉽게 제조될 수 있다. 매트릭스 폴리머는 적합한 현상액에서 현상가능한 레지스트의 노출된 코팅 층을 제공하기에 충분한 양으로 레지스트 조성물에 존재한다. 전형적으로, 매트릭스 폴리머는 레지스트 조성물의 총 고형물을 기반으로 50 내지 95 wt%의 양으로 조성물에 존재한다. 매트릭스 폴리머의 중량 평균 분자량 Mw는 전형적으로 100,000 미만, 예를 들면, 5000 내지 100,000, 더욱 전형적으로 5000 내지 15,000이다.
포토레지스트 조성물은 추가로 활성화 방사선으로의 노출시 조성물의 코팅 층에 잠상을 생성하기에 충분한 양으로 이용되는 광산 발생제 (PAG)를 포함한다. 예를 들면, 광산 발생제는 적합하게 포토레지스트 조성물의 총 고형물을 기반으로 약 1 내지 20 wt%의 양으로 존재할 것이다. 전형적으로, 더 적은 양의 PAG가 비-화학적으로 증폭된 물질과 비교하여 화학적으로 증폭된 레지스트에 적합할 것이다.
적합한 PAG는 화학적으로 증폭된 포토레지스트 분야에 공지되어 있고 예를 들면 하기를 포함한다: 오늄 염, 예를 들면, 트리페닐설포늄 트리플루오로메탄설포네이트, (p-tert-부톡시페닐)디페닐설포늄 트리플루오로메탄설포네이트, 트리스(p-tert-부톡시페닐)설포늄 트리플루오로메탄설포네이트, 트리페닐설포늄 p-톨루엔설포네이트; 니트로벤질 유도체, 예를 들면, 2-니트로벤질-p-톨루엔설포네이트, 2,6-디나이트로벤질-p-톨루엔설포네이트, 및 2,4-디나이트로벤질-p-톨루엔설포네이트; 설폰산 에스테르, 예를 들면, 1,2,3-트리스(메탄설포닐옥시)벤젠, 1,2,3-트리스(트리플루오로메탄설포닐옥시)벤젠, 및 1,2,3-트리스(p-톨루엔설포닐옥시)벤젠; 디아조메탄 유도체, 예를 들면, 비스(벤젠설포닐)디아조메탄, 비스(p-톨루엔설포닐)디아조메탄; 글라이옥심 유도체, 예를 들면, 비스-O-(p-톨루엔설포닐)-α-디메틸글라이옥심, 및 비스-O-(n-부탄설포닐)-α-디메틸글라이옥심; N-하이드록시이미드 화합물의 설폰산 에스테르 유도체, 예를 들면, N-하이드록시석신이미드 메탄설폰산 에스테르, N-하이드록시석신이미드 트리플루오로메탄설폰산 에스테르; 및 할로겐-함유 트리아진 화합물, 예를 들면, 2-(4-메톡시페닐)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 및 2-(4-메톡시나프틸)-4,6-비스(트리클로로메틸)-1,3,5-트리아진. 그와 같은 PAG들 중 하나 이상이 사용될 수 있다.
포토레지스트 조성물에 적합한 용매는 예를 들면 하기를 포함한다: 글라이콜 에테르 예컨대 2-메톡시에틸 에테르 (디글라임), 에틸렌 글리콜 모노메틸 에테르, 및 프로필렌 글리콜 모노메틸 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 락테이트 예컨대 메틸 락테이트 및 에틸 락테이트; 프로피오네이트 예컨대 메틸 프로피오네이트, 에틸 프로피오네이트, 에틸 에톡시 프로피오네이트 및 메틸-2-하이드록시 이소부티레이트; 셀로솔브 에스테르 예컨대 메틸 셀로솔브 아세테이트; 방향족 탄화수소 예컨대 톨루엔 및 자일렌; 및 케톤 예컨대 아세톤, 메틸에틸 케톤, 사이클로헥사논 및 2-헵타논. 상기 기재된 용매 중 2, 3 또는 그 이상의 블렌드와 같은 용매의 블렌드가 또한 적합하다. 용매는 전형적으로 포토레지스트 조성물의 총 중량을 기반으로 90 내지 99 wt%, 더욱 전형적으로 95 내지 98 wt%의 양으로 조성물에 존재한다.
포토레지스트 조성물은 추가로 임의의 다른 물질을 포함할 수 있다. 예를 들면, 상기 조성물은 화학선 및 콘트라스트 염료, 항-횡문 제제, 가소제, 속도 인핸서, 감작제 등 중 하나 이상을 포함할 수 있다. 그와 같은 임의의 첨가제는 사용된다면 전형적으로 소량 예컨대 포토레지스트 조성물의 총 고형물을 기반으로 0.1 내지 10 wt%의 양으로 상기 조성물에 존재한다.
레지스트 조성물의 임의의 바람직한 첨가제는 부가된 염기가다. 적합한 염기는 예를 들면 하기를 포함한다: 선형 및 사이클릭 아미드 및 그것의 유도체 예컨대 N,N-비스(2-하이드록시에틸)피발아미드, N,N-디에틸아세트아미드, N1,N1,N3,N3-테트라부틸말론아마이드, 1-메틸아제판-2-온, 1-알릴아제판-2-온 및 tert-부틸 1,3-디하이드록시-2-(하이드록시메틸)프로판-2-일카바메이트; 방향족 아민 예컨대 피리딘, 및 디-tert-부틸 피리딘; 지방족 아민 예컨대 트리이소프로판올아민, n-tert-부틸디에탄올아민, 트리스(2-아세톡시-에틸) 아민, 2,2',2'',2'''-(에탄-1,2-디일비스(아잔트리일))테트라에탄올, 및 2-(디부틸아미노)에탄올, 2,2',2''-니트릴로트리에탄올; 사이클릭 지방족 아민 예컨대 1-(tert-부톡시카보닐)-4-하이드록시피페리딘, tert-부틸 1-피롤리딘카복실레이트, tert-부틸 2-에틸-1H-이미다졸-1-카복실레이트, 디-tert-부틸 피페라진-1,4-디카복실레이트 및 N (2-아세톡시-에틸) 모폴린. 부가된 염기는 전형적으로 비교적 작은 양, 예를 들면, 포토레지스트 조성물의 총 고형물을 기반으로 0.01 내지 5 wt%, 바람직하게는 0.1 내지 2 wt%의 양으로 사용된다.
포토레지스트는 공지된 절차에 따라 제조될 수 있다. 예를 들면, 상기 레지스트는 적합한 용매, 예를 들면, 하기 중 하나 이상에 포토레지스트 성분을 용해시켜 코팅 조성물로서 제조될 수 있다: 글라이콜 에테르 예컨대 2-메톡시에틸 에테르 (디글라임), 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 락테이트 예컨대 에틸 락테이트 또는 메틸 락테이트(에틸 락테이트가 바람직함); 프로피오네이트, 특히 메틸 프로피오네이트, 에틸 프로피오네이트 및 에틸 에톡시 프로피오네이트; 셀로솔브 에스테르 예컨대 메틸 셀로솔브 아세테이트; 방향족 탄화수소 예컨대 톨루엔 또는 자일렌; 또는 케톤 예컨대 메틸에틸 케톤, 사이클로헥사논 및 2-헵타논. 포토레지스트의 원하는 총 고형물 함량은 조성물 중 특정한 폴리머, 최종 층 두께 및 노출 파장과 같은 인자에 의존적일 것이다. 전형적으로 포토레지스트의 고형물 함량은 포토레지스트 조성물의 총 중량을 기반으로 1 내지 10 wt%, 더욱 전형적으로 2 내지 5 wt%로 가변적이다.
적합한 포토레지스트는 당해기술에 공지되어 있고, 예를 들면, US 특허 공개 US20130115559A1, US20110294069A1, US20120064456A1, US20120288794A1, US20120171617A1, US20120219902A1 및 US7998655B2에 기재된 포토레지스트를 포함한다.
패턴 처리 방법
이하 본 발명에 따른 공정은 네가티브 톤 현상에 의한 포토리소그패픽 패턴을 형성하기 위한 예시적인 공정 흐름을 설명하는, 도 1a 내지 1f를 참조하여 기재될 것이다.
도 1a는 다양한 층 및 특징을 포함할 수 있는 기판(100)의 단면을 도시한다. 기판은 반도체, 예컨대 실리콘 또는 화합물 반도체 (예를 들면, III-V 또는 II-VI), 유리, 석영, 세라믹, 구리 등과 같은 물질일 수 있다. 전형적으로, 기판은 반도체 웨이퍼, 예컨대 단일 결정 실리콘 또는 화합물 반도체 웨이퍼이며, 하나 이상의 층 및 그것의 표면 위에 형성된 패터닝된 특징을 가질 수 있다. 패터닝될 하나 이상의 층(102)은 기판(100) 위에 제공될 수 있다. 임의로, 예를 들면, 기판 재료에 트렌치를 형성하는 것을 목적으로 하는 경우, 기저 베이스 기판 재료 자체가 패터닝될 수 있다. 베이스 기판 재료 자체를 패터닝하는 경우에, 패턴은 기판 층에 형성되는 것으로 고려될 것이다.
상기 층은, 예를 들면, 하나 이상의 전도성 층 예컨대 알루미늄, 구리, 몰리브데늄, 탄탈럼, 티타늄, 텅스텐, 합금, 그와 같은 금속의 니트라이드 또는 실리사이드, 도핑된 비결정성 실리콘 또는 도핑된 폴리실리콘의 층, 하나 이상의 유전체 층 예컨대 산화규소, 실리콘 니트라이드, 실리콘 옥시니트라이드, 또는 산화금속의 층, 반도체 층, 예컨대 단일 결정 실리콘, 및 이들의 조합을 포함할 수 있다. 에칭되는 층은 다양한 기술, 예를 들면, 화학적 기상 증착 (CVD) 예컨대 플라즈마-강화 CVD, 낮은-압력 CVD 또는 에피택셜(epitaxial) 성장, 물리적 기상 증착 (PVD) 예컨대 스퍼터링(sputtering) 또는 증발, 또는 전기도금에 의해 형성될 수 있다. 에칭되는 하나 이상의 층(102)의 특정한 두께는 물질 및 형성되는 특정한 디바이스에 따라 달라질 것이다.
에칭되는 특정한 층, 막 두께 및 사용될 포토리소그패픽 물질 및 공정에 따라, 층(102) 위에 포토레지스트 층(104)이 코팅될 하부 반사방지 코팅 (BARC) 및/또는 하드 마스크 층을 배치하는 것이 바람직할 수 있다. 에칭될 층이 유의미한 에칭 깊이를 필요로 하고/하거나 특정한 에칭용 시약이 좋지 못한 레지스트 선택성을 갖는 경우에, 예를 들면, 초박막 레지스트 층과 함께 하드 마스크 층의 사용이 요구될 수 있다. 하드 마스크 층이 사용되는 경우, 형성되는 레지스트 패턴은 하드 마스크 층으로 전사될 수 있으며, 결국, 기저 층(102)을 에칭하기 위한 마스크로서 사용될 수 있다. 적합한 하드 마스크 물질 및 형성 방법은 당해기술에 공지되어 있다. 전형적인 물질은, 예를 들면, 텅스텐, 티타늄, 티타늄 니트라이드, 산화티타늄, 산화지르코늄, 산화알루미늄, 알루미늄 옥시니트라이드, 하프늄 옥사이드, 비결정성 탄소, 실리콘 옥시니트라이드 및 실리콘 니트라이드를 포함한다. 하드 마스크 층은 단일 층 또는 복수의 층의 상이한 물질을 포함할 수 있다. 하드 마스크 층은, 예를 들면, 화학적 또는 물리적 기상 증착 기술에 의해 형성될 수 있다.
하부 반사방지 코팅은, 기판 및/또는 기저 층이 다르게 포토레지스트 노출 동안 유의미한 양의 입사 방사선을 반사하여 형성된 패턴의 품질에 부정적으로 영향을 미칠 경우에 바람직할 수 있다. 그와 같은 코팅은 초점 심도, 노출 관용도, 선폭 균일성 및 CD 제어를 향상시킬 수 있다. 반사방지 코팅물은 전형적으로 상기 레지스트가 심자외선 (300 nm 이하), 예를 들면, KrF 엑시머 레이저광 (248nm) 또는 ArF 엑시머 레이저광 (193 nm)에 노출되는 경우에 사용된다. 반사방지 코팅은 단일 층 또는 복수의 상이한 층을 포함할 수 있다. 적합한 반사방지 물질 및 형성 방법은 당해기술에 공지되어 있다. 반사방지 물질은 상업적으로 이용가능하고, 예를 들면, AR™40A 및 AR™124 반사방지 물질과 같이 다우 일렉트로닉 머터리알즈(Dow Electronic Materials, Marlborough, MA USA)에 의해 상표명 AR™ 하에 시판되는 것들이다.
본원에 기재된 바와 같은 조성물로부터 형성된 포토레지스트 층(104)은 (존재하면) 반사방지 층이 덮힌 기판 위에 배치된다. 포토레지스트 조성물을 스핀-코팅, 딥핑, 롤러-코팅 또는 다른 종래의 코팅 기술에 의해 기판에 적용할 수 있다. 이들 중에서, 스핀-코팅이 전형적이다. 스핀-코팅의 경우, 코팅 용액 중 고형물 함량은 이용된 특수 코팅 장비, 용액의 점도, 코팅 도구의 속도 및 스피닝 시간을 기반으로 원하는 막 두께를 제공하도록 조정될 수 있다. 포토레지스트 층(104)에 전형적인 두께는 약 500 내지 3000 Å이다.
다음에, 포토레지스트 층은 상기 층 내의 용매 함량을 최소화시키기 위해 소프트 베이크되어 비점착 코팅을 형성하고 기판으로의 상기 층의 부착을 향상시킬 수 있다. 소프트 베이크는 핫플레이트 상에서 또는 오븐에서 수행될 수 있으며, 핫플레이트가 전형적이다. 소프트 베이크 온도 및 시간은, 예를 들면, 포토레지스트의 특정한 물질 및 두께에 의존적일 것이다. 전형적인 소프트 베이크는 약 90 내지 150℃의 온도 및 약 30 내지 90 초이 시간에 수행된다.
다음에, 포토레지스트 층(104)은 패터닝된 포토마스크(106)를 통해 활성화 방사선에 노출되어 노출된 및 노출되지 않은 영역 사이의 용해도 차이를 생성한다. 포토레지스트 조성물을 활성화시키는 방사선으로의 포토레지스트 조성물의 노출에 대한 본원에서의 언급은 방사선이 포토레지스트 조성물에서 잠상을 형성할 수 있음을 명시한다. 포토마스크는 차후의 현상 단계에서 각각 유지되고 제거된 레지스트 층의 영역에 상응하는 광학적으로 투명한 및 광학적으로 불투명한 영역을 갖는다. 노출 파장은 전형적으로 하위-400 nm, 하위-300 nm 또는 하위-200 nm이며, 248 nm, 193 nm 및 EUV 파장 (예를 들면, 13.5 nm)이 전형적이다. 상기 방법은 액침 또는 건식 (비-액침) 리소그래피 기술을 이용한다. 노출 에너지는 노출 도구 및 포토레지스트 조성물의 성분에 따라 전형적으로 약 10 내지 80 mJ/cm2이다.
포토레지스트 층(104)의 노출 후, 노광후 베이크 (PEB)가 수행된다. 산 발생제에 의해 발생된 산은 산 절단가능 이탈 기를 절단시켜 산 기, 전형적으로 카복실산 기, 및/또는 알코올 기를 형성한다. PEB는, 예를 들면, 핫플레이트 상에서 또는 오븐에서 수행될 수 있다. PEB를 위한 조건은, 예를 들면, 특정한 포토레지스트 조성물 및 층 두께에 의존적일 것이다. PEB는 전형적으로 약 80 내지 150℃의 온도 및 약 30 내지 90 초의 시간에서 수행된다.
다음에, 노출된 포토레지스트 층은 노출된 영역이 도 1b에 도시된 바와 같이 음성 레지스트 패턴(104')을 형성하게 하면서, 노출되지 않은 영역을 제거하도록 현상된다. 레지스트 패턴(104')은 카복실산 기 및/또는 알코올 기를 포함한다. 네거티브 톤(tone) 현상액은 유기 용매 현상액, 예를 들면, 케톤, 에스테르, 에테르, 탄화수소로부터 선택된 용매, 및 이들의 혼합물이다. 적합한 케톤 용매는, 예를 들면, 아세톤, 2-헥사논, 5-메틸-2-헥사논, 2-헵타논, 4-헵타논, 1-옥타논, 2-옥타논, 1-노나논, 2-노나논, 디이소부틸 케톤, 사이클로헥사논, 메틸사이클로헥사논, 페닐아세톤, 메틸 에틸 케톤 및 메틸 이소부틸 케톤을 포함한다. 적합한 에스테르 용매는, 예를 들면, 메틸 아세테이트, 부틸 아세테이트, 에틸 아세테이트, 이소프로필 아세테이트, 아밀 아세테이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸렌 글리콜 모노에틸 에테르 아세테이트, 디에틸렌 글리콜 모노부틸 에테르 아세테이트, 디에틸렌 글리콜 모노에틸 에테르 아세테이트, 에틸-3-에톡시프로피오네이트, 3-메톡시부틸 아세테이트, 3-메틸-3-메톡시부틸 아세테이트, 메틸 포르메이트, 에틸 포르메이트, 부틸 포르메이트, 프로필 포르메이트, 에틸 락테이트, 부틸 락테이트 및 프로필 락테이트를 포함한다. 적합한 에테르 용매는, 예를 들면, 디옥산, 테트라하이드로푸란 및 글라이콜 에테르 용매, 예를 들면, 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 프로필렌 글리콜 모노에틸 에테르, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르 및 메톡시메틸 부탄올을 포함한다. 적합한 아미드 용매는, 예를 들면, N-메틸-2-비닐피롤리돈, N,N-디메틸아세트아미드 및 N,N-디메틸포름아미드를 포함한다. 적합한 탄화수소 용매는, 예를 들면, 방향족 탄화수소 용매 예컨대 톨루엔, 아니솔 및 자일렌을 포함한다. 또한, 이들 용매들의 혼합물, 또는 상기에서 기재된 용매와 다른 용매 또는 물과 혼합된 열거된 용매 중 하나 이상이 사용될 수 있다. 다른 적합한 용매는 포토레지스트 조성물에 사용된 용매를 포함한다. 현상액은 바람직하게는 2-헵타논 또는 부틸 아세테이트 예컨대 n-부틸 아세테이트이다.
유기 용매(들)는 전형적으로 현상액의 총 중량을 기반으로 90 wt% 내지 100 wt%, 더욱 전형적으로 95 wt% 초과, 98 wt% 초과, 99 wt% 초과 또는 100 wt%의 조합된 양으로 현상액에 존재한다.
현상액 물질은 임의의 첨가제, 예를 들면, 포토레지스트에 대해 상기에서 기재된 바와 같은 계면활성제를 포함할 수 있다. 그와 같은 임의의 첨가제는 전형적으로 최소 농도로, 예를 들면, 현상액의 총 중량을 기반으로 약 0.01 내지 5 wt%의 양으로 존재할 것이다.
현상액은 공지된 기술, 예를 들면, 스핀-코팅 또는 푸들-코팅(puddle-coating)에 의해 기판에 도포될 수 있다. 현상 시간은 포토레지스트의 노출되지 않은 영역을 제거하기에 효과적인 기간 동안이며, 5 내지 30 초의 시간이 전형적이다. 현상은 전형적으로 실온에서 수행된다.
현상 후, 레지스트 패턴(104')은 임의로 하드베이크(hardbake) 공정에서 열 처리되어 레지스트 패턴으로부터 용매를 추가로 제거할 수 있다. 임의의 하드베이크는 전형적으로 핫 플레이트 또는 오븐을 사용하여 수행되며, 전형적으로 약 90 ℃ 또는 그 이상의 온도, 예를 들면, 약 100 내지 150℃ 및 약 30 내지 120 초의 시간에서 수행된다.
도 1c를 참조하여, 본원에 기재된 바와 같은 패턴 처리 조성물은 레지스트 패턴(104') 위에 코팅되어 패턴 처리 조성물 층(112)을 형성한다. 특정한 공정에 따라, 패턴 처리 조성물은 레지스트 패턴을 완전히 또는 특정한 용도에 따라 레지스트 패턴 상단 표면이 덮이지 않도록 레지스트 패턴 두께 미만 또는 이와 동일한 높이로 덮여지도록 도포될 수 있다.
다음에, 패턴 처리 조성물 층(112)은 전형적으로 소프트 베이크되어 조성물로부터 용매를 제거하고 폴리머를 확산시키고 폴리머의 고착 부위와 포토레지스트 패턴의 탈보호된 산 및/또는 알코올 기 사이의 결합을 유도한다. 패턴 처리 조성물에 전형적인 소프트 베이크는 약 90 내지 150℃의 온도 및 약 30 내지 120 초의 시간에 수행된다.
다음에, 레지스트 패턴에 결합되지 않은 폴리머를 포함하는 잔류 패턴 처리 조성물을 린싱하여 기판으로부터 제거함으로써 도 1d에 도시된 바와 같이 레지스트 패턴에 결합된 폴리머 층(112')이 이후에 남게 된다. 잔류 패턴 처리 조성물의 제거에 의해, 레지스트 패턴 측벽의 효과적인 두께가 증가되고, 그렇게 함으로써 인접한 라인들 간에 또는 트렌치 또는 홀 패턴 내의 간격을 감소시킨다. 적합한 린싱 용액은 폴리머가 용해되는 유기 용매 현상액을 포함한다. 적합한 물질은, 예를 들면, NTD 현상액에 대해 본원에 기재된 현상액을 포함한다. 이들 중에서, n-부틸 아세테이트 및 2-헵타논이 전형적이다. 수득한 이미지는 전형적으로 포토레지스트 층의 현상 후 레지스트 패턴의 이미지와 비교하여 향상된 (즉, 감소된) 표면 조도를 갖는다.
임의로, 후-린스 베이크는 블록 코폴리머의 Tg를 초과하는 온도에서 수행될 수 있다. 이 베이크는, 예를 들면, 공기와의 계면 영역을 최소화하려는 폴리머의 열역학적 경향으로 인해 향상된 패턴 조도 또는 원형도의 형태로 유익한 결과를 제공할 수 있다.
다음에, 하나 이상의 기저 층(102)을 에칭 마스크로서 결합된 블록 코폴리머(112')와 함께 레지스트 패턴(104')을 사용하여 선택적으로 에칭하여 도 1e에 도시된 바와 같이 기저 기판(100)을 노출시킬 수 있다. 에칭 층(102)에 적합한 에칭 기술 및 화학은 당해기술에 공지되어 있고, 건식-에칭 공정 예컨대 반응성 이온 에칭이 전형적이다. 다음에, 레지스트 패턴(104') 및 결합된 블록 코폴리머(112')는 공지된 기술, 예를 들면, 산소 플라즈마 애슁(oxygen plasma ashing)을 사용하여 기판으로부터 제거된다.
하기 비-제한적인 예는 본 발명을 예시한다.
실시예
비-질소-함유 블록 폴리머에 대한 수 및 중량-평균 분자량, Mn 및 Mw, 및 다분산도 (PDI) 값 (Mw/Mn)은 굴절률 검출기가 구비된 워터스 얼라이언스 시스템(Waters alliance system) 상에서 겔 투과 크로마토그래피 (GPC)에 의해 측정되었다. 샘플을 HPCL 등급 THF에서 대략 1 mg/mL의 농도로 용해시키고 4개의 쇼덱스(Shodex) 칼럼 (KF805, KF804, KF803 및 KF802)을 통해 주사되었다. 1 mL/min의 유속 및 35℃의 온도를 유지시켰다. 칼럼을 좁은 분자량 PS 표준 (EasiCal PS-2, Polymer Laboratories, Inc.)으로 보정했다. 최종 블록 코폴리머 상의 질소-함유 블록에 대해, 수 평균 분자량 Mn은 반응물 공급량(reactant feed charge)을 기반으로 계산되었고, "Mn 표적"으로 보고되었다.
히타치(Hitachi) S9380 SEM을 250K 배율로 사용하여 하향식(top-down) 주사 전자 현미경사진을 획득했다. 암레이(Amray) 1910 스캐닝 전자현미경을 사용하여 웨이퍼를 절단한 후 단면 SEM 이미지를 획득했다. 임계 치수 (CD) 및 피치(pitch)를 SEM 이미지를 기반으로 결정했다.
포토레지스트 조성물 A 제조
17.73 g 매트릭스 폴리머 A (PGMEA 중 15 wt%), 16.312 g PAG A 용액 (메틸-2-하이드록시 이소부티레이트 중 1 wt%), 3.463 g PAG B 용액 (PGMEA 중 1 wt%), 6.986 g PAG C 용액 (메틸-2-하이드록시이소부티레이트 중 2 wt%), 4.185 g 트리옥틸아민 (PGMEA 중 1 wt% 용액), 0.248 g 폴리머 첨가제 A (PGMEA 중 25 wt% 용액), 25.63 g PGMEA, 9.69 g 감마-부티로락톤 및 22.61 g 메틸-2-하이드록시이소부티레이트를 혼합하고 0.2 μm 나일론 필터를 통해 여과했다.
Figure pat00012
Figure pat00013
패턴 처리 조성물 제조
하기 모노머를 아래에서 기재된 바와 같이 패턴 처리 조성물 폴리머 P-1 내지 P-14를 제조하는데 사용했다.
Figure pat00014
Figure pat00015
반응물 폴리머 합성
실시예 1-4:
폴리머 P-1 내지 P-4를 표 1에 기재된 물질 및 양을 사용하여 합성했다. 모노머 및 용매를 3회 냉동-펌프-해동하여 산소를 제거했다. 모노머를 활성화된 Al2O3으로의 사용 전에 추가로 정제하고 약 50 vol% 농도가 되도록 사이클로헥산으로 희석했다. 약 7-10 wt% 고형물의 반응 농도에 필요한 THF의 양을 미리 건조된 LiCl을 함유하는 반응기로 옮겼다. 내용물을 드라이아이스/ 이소프로판올 배쓰에서 -78℃로 냉각했다. THF를 녹색이 관측될 때까지 0.7M 사이클로헥산 중 sec-부틸 리튬 (SBL) 개시제로 적정했다. 반응 배쓰를 녹색이 완전히 사라질 때까지 실온으로 가온시켰다. 반응 배쓰를 다시 -78℃로 냉각시킨 후 디페닐 에틸렌 (DPE) 및 SBL 개시제를 부가하여 밝은 적색 물질을 수득했다. 제1 모노머 ("모노머 1")를 반응기에 공급하고 내용물을 추가의 4 시간 동안 교반시켰다. 산소가 없는 메탄올 중의 폴리머 혼합물에 캐뉼라를 삽입하여 반응 분취량을 수집했다. 침전된 폴리머를 Mn에 대해 GPC로 분석했다. 제2 모노머 ("모노머 2")를 상기 반응기에 부가하고 혼합물을 -78℃에서 추가 2 시간 동안 교반시켰다. 이어서 산소가 없는 메탄올을 부가하여 반응을 켄칭했다. 반응 생성물을 메탄올에서 침전시켜 분말성 백색 침전물을 수득하고, 이를 50℃의 오븐에서 8시간 동안 진공-건조시켜 무수 폴리머를 폴리머 P-1 내지 P-4로서 수득했다.
실시예 5-7:
폴리머를 표 1에 기재된 물질 및 양을 사용하여 합성했다. 모노머 및 용매를 3회 냉동-펌프-해동하여 산소를 제거했다. 모노머를 활성화된 Al2O3으로의 사용 전에 추가로 정제하고 약 50 vol% 농도가 되도록 사이클로헥산으로 희석했다. 약 7-10 wt% 고형물의 반응 농도에 필요한 THF의 양을 미리 건조된 LiCl을 함유하는 반응기로 옮겼다. 내용물을 드라이아이스/ 이소프로판올 배쓰에서 -78℃로 냉각했다. THF를 녹색이 관측될 때까지 0.7M 사이클로헥산 중 sec-부틸 리튬 (SBL) 개시제로 적정했다. 반응 배쓰를 녹색이 완전히 사라질 때까지 실온으로 가온시켰다. 반응 배쓰를 다시 -78℃로 냉각시킨 후 디페닐 에틸렌 (DPE) 및 SBL 개시제를 부가하여 밝은 적색 물질을 수득했다. 제1 및 제2 모노머 ("모노머 A" 및 "모노머 B")를 반응기에 공급하고 내용물을 6 시간 동안 교반시켰다. 산소가 없는 메탄올 중의 폴리머 혼합물에 캐뉼라를 삽입하여 반응 분취량을 수집했다. 침전된 폴리머를 Mn에 대해 GPC로 분석했다. 제3 모노머 ("모노머 C")를 상기 반응기에 부가하고 혼합물을 -78℃에서 4 시간 동안 교반시켰다. 이어서 반응 분취량을 산소가 없는 메탄올로 켄칭시켰다. 반응 생성물을 메탄올에서 침전시켜 분말성 백색 침전물을 수득하고, 이를 50℃의 오븐에서 8시간 동안 진공-건조시켜 무수 폴리머를 폴리머 P-5, P-6 및 P-7로서 수득했다.
실시예 8 및 9:
폴리머를 표 1에 기재된 물질 및 양을 사용하여 합성했다. 모노머 및 용매를 3회 냉동-펌프-해동하여 산소를 제거했다. 모노머를 활성화된 Al2O3으로의 사용 전에 추가로 정제하고 약 50 vol% 농도가 되도록 사이클로헥산으로 희석했다. 약 7-10 wt% 고형물의 반응 농도에 필요한 THF의 양을 미리 건조된 LiCl을 함유하는 반응기로 옮겼다. 내용물을 드라이아이스/ 이소프로판올 배쓰에서 -78℃로 냉각했다. THF를 녹색이 관측될 때까지 0.7M 사이클로헥산 중 sec-부틸 리튬 (SBL) 개시제로 적정했다. 반응 배쓰를 녹색이 완전히 사라질 때까지 실온으로 가온시켰다. 반응 배쓰를 다시 -78℃로 냉각시킨 후 디페닐 에틸렌 (DPE) 및 SBL 개시제를 부가하여 밝은 적색 물질을 수득했다. 제1 모노머 ("모노머 1")를 반응기에 공급하고 내용물을 4 시간 동안 교반시켰다. 산소가 없는 메탄올 중의 폴리머 혼합물에 캐뉼라를 삽입하여 반응 분취량을 수집했다. 침전된 폴리머를 Mn에 대해 GPC로 분석했다. 제2 모노머 ("모노머 2")를 상기 반응기에 부가하고 혼합물을 -78℃에서 4 시간 동안 교반시켰다. 반응 분취량을 산소가 없는 메탄올에서 수집했다. 폴리머를 Mn에 대해 GPC로 분석했다. 제3 모노머 ("모노머 3")를 상기 반응기에 부가하고 혼합물을 -78℃에서 4 시간 동안 교반시켰다. 이어서 반응 분취량을 산소가 없는 메탄올로 켄칭시켰다. 반응 생성물을 메탄올에서 침전시켜 분말성 백색 침전물을 수득하고, 이를 50℃의 오븐에서 8시간 동안 진공-건조시켜 무수 폴리머를 폴리머 P-8 및 P-9로서 수득했다.
표 1
Figure pat00016
Mn = 비-질소-함유 블록에 대한 Mn; PDI = 비-질소-함유 블록에 대한 PDI; Mn 표적 = 반응물 공급량을 기반으로 계산된 질소-함유 블록에 대한 Mn; SBL = sec-부틸 리튬; DPE = 디페닐 에틸렌.
실시예 10 (비교):
21 g PGMEA를 3-목 100 ml 둥근바닥 플라스크에 충전하고 질소 퍼지와 함께 90℃로 가열했다. 17.1 g 모노머 M2 및 0.9 g 모노머 M17을 12.0 g PGMEA에 미리 용해시켰다. 0.9 g V601 개시제 (Wako Specialty Chemicals)를 8.0 g PGMEA에 용해시켰다. 모노머 및 개시제 둘 모두를 2시간에 걸쳐 반응기에 공급했다. 이어서 내용물을 추가 2시간 동안 유지시킨 후 반응 혼합물을 주위 온도로 냉각시켰다. 폴리머를 메탄올로 침전시키고 50℃의 진공 오븐에서 8 시간 동안 건조시켜 10k의 표적 Mw를 갖는 폴리머 P-10을 수득했다.
실시예 11 (비교):
500 g THF를 드라이아이스/ 이소프로판올 배쓰에서 -78℃로 냉각했다. THF를 0.7M 사이클로헥산 중 sec-부틸 리튬 (SBL)으로 적정했다. 반응 배치를 녹색 빛깔이 완전히 사라질 때까지 실온으로 가온시켰다. 반응 배쓰를 다시 -78℃로 냉각시킨 후 0.17 g 디페닐 에틸렌 (100%) 및 1.39 g SBL (사이클로헥산 중 0.45M) 개시제를 부가하여 밝은 적색 물질을 수득했다. 50.0 g 모노머 M2를 반응기에 공급하고 내용물을 추가 4 시간 동안 교반시켰다. 이어서 반응물을 산소가 없는 메탄올로 켄칭시켰다. 내용물을 메탄올로 침전시켜 분말성 백색 침전물을 수득했다. 침전물을 50℃의 오븐에서 8 시간 동안 진공 건조시켜 60k의 Mn 및 1.03의 PDI를 갖는 폴리머 P-11을 수득했다.
실시예 12 (비교):
300 g THF를 드라이아이스/ 이소프로판올 배쓰에서 -78℃로 냉각했다. THF를 0.7M 사이클로헥산 중 sec-부틸 리튬 (SBL)으로 적정했다. 반응 배치를 녹색 빛깔이 완전히 사라질 때까지 실온으로 가온시켰다. 반응 배쓰를 다시 -78℃로 냉각시킨 후 0.68 g SBL (사이클로헥산 중 0.45M) 개시제를 부가했다. 10.66 g 모노머 M5를 상기 반응기에 공급하고 내용물을 추가 2 시간 동안 교반시켰다. 이어서 반응물을 산소가 없는 메탄올로 켄칭시켰다. 내용물을 메탄올로 침전시켜 분말성 백색 침전물을 수득했다. 침전물을 50℃의 오븐에서 8 시간 동안 진공 건조시켜 35k의 Mn 및 1.1의 PDI를 갖는 폴리머 P-12를 수득했다.
패턴 처리 조성물 제조:
패턴 처리 조성물은 표 2에 기재된 폴리머를 3 wt% 용액을 형성하는 양으로 2-헵타논에 용해시켜 제조되었다. 상기 조성물을 0.2 마이크론 초고분자량 폴리에틸렌 (UPE) 필터를 사용하여 여과했다.
표 2
Figure pat00017
리소그래픽 가공 및 패턴 처리:
라인/공간 패턴 처리
라인/공간 패턴을 갖는 실리콘 웨이퍼를 하기와 같이 제조하고 가공했다. 1350Å 유기 하지층 위의 220Å 실리콘-함유 반사방지 코팅 (SiARC) 층의 이중층 스택(stack)을 갖는 8-인치 실리콘 웨이퍼가 제공되었다. 표 3에 명시된 포토레지스트 조성물을 이중층 스택 위에 코팅하고 TEL CLEAN TRACK™ LITHIUS™ i+ 코터/디벨로퍼(coater/developer) 상에서 표적 레지스트 두께가 1000Å이 되도록 90℃에서 60 초 동안 소프트 베이크했다. 포토레지스트 층을 각 웨이퍼 전체에 걸쳐 다양한 선량으로 150 nm의 피치를 갖는 라인/공간 패턴을 포함하는 레티클(reticle)을 통한 쌍극자-35Y 조명 및 0.75의 개구수 (NA)를 갖는 ASML 1100 스캐너를 사용하여 노출시켰다. 90℃에서 60 초 동안 노광후 베이크를 수행하고, 포토레지스트 층을 n-부틸아세테이트 (nBA) 현상액을 사용하여 현상하여 150 nm의 피치 및 웨이퍼 전체에 걸쳐 다양한 임계 치수 (CDs)를 갖는 라인/공간 패턴을 형성했다. 대조군으로서 레지스트-패터닝된 웨이퍼 중 하나가 추가 공정 없이 SEM에 의해 관측되었고 라인들 간의 평균 간격(CD i )을 측정했다. 다른 웨이퍼를 TEL CLEAN TRACK™ LITHIUS™ i+ 코터/디벨로퍼 상에서 1500 rpm에서의 스핀 코팅에 의해 표 3에 명시된 각 패턴 처리 조성물로 오버코팅했다. 패터닝된 웨이퍼를 100℃에서 60 초 동안 소프트 베이크하고 스핀-코터 상에서 n-부틸아세테이트로 린스했다. 수득한 패턴을 SEM으로 관측하고 라인들 간의 평균 간격 (CD f )을 패턴의 중간-높이에서 측정했다. 패턴 처리 조성물에 대한 평균 수축 양 ΔCD (=CD i - CD f )을 계산했다. 결과는 표 3에서 보여준다. 본 발명의 패턴 처리 조성물에 대해 패턴 처리 전후 조사 선량(exposure dose)의 함수로서의 CD를 또한 결정했다. 상기 조성물에 대해 측정된 범위에 걸쳐 각 선량에서의 수축 양 (ΔCD)은 실질적으로 일정한 것으로 나타났고, 이는 낮은 근접 바이어스를 지시한다. 도 2는 패턴 처리 조성물 PTC-8에 대한 패턴 처리 전후 CD 대 조사 선량의 대표적인 플롯을 제공한다.
컨택 홀 패턴 처리
컨택 홀 패턴을 갖는 실리콘 웨이퍼를 하기와 같이 제조하고 가공했다. 1350Å 유기 하지층 위의 220Å 실리콘-함유 반사방지 코팅 (SiARC) 층의 이중층 스택을 갖는 8-인치 실리콘 웨이퍼가 제공되었다. 표 3에 명시된 포토레지스트 조성물을 이중층 스택 위에 코팅하고 TEL CLEAN TRACK™ LITHIUS™ i+ 코터/디벨로퍼 상에서 표적 레지스트 두께가 1000Å이 되도록 90℃에서 60 초 동안 소프트 베이크했다. 포토레지스트 층을 각 웨이퍼 전체에 걸쳐 다양한 선량으로 300 nm의 컨택 홀 패턴을 포함하는 레티클을 통한 사중극자 30 조명 및 0.75의 개구수 (NA)를 갖는 ASML 1100 스캐너를 사용하여 노출시켰다. 90℃에서 60 초 동안 노광후 베이크를 수행하고, 포토레지스트 층을 n-부틸아세테이트 (nBA) 현상액을 사용하여 현상하여 300 nm의 피치 및 웨이퍼 전체에 걸쳐 다양한 임계 치수 (CDs)를 갖는 컨택 홀 패턴을 형성했다. 대조군으로서 레지스트-패터닝된 웨이퍼 중 하나가 추가 공정 없이 SEM에 의해 관측되었고 평균 컨택 홀 직경 (CD i )을 패턴의 중간-높이에서 측정했다. 다른 웨이퍼를 TEL CLEAN TRACK™ LITHIUS™ i+ 코터/디벨로퍼 상에서 1500 rpm에서의 스핀 코팅에 의해 표 3에 명시된 각 패턴 처리 조성물로 오버코팅했다. 패터닝된 웨이퍼를 100℃에서 60 초 동안 소프트 베이크하고 스핀-코터 상에서 n-부틸아세테이트로 린스했다. 수득한 패턴을 SEM으로 관측하고 평균 컨택 홀 직경 (CD f )을 패턴의 중간-높이에서 측정했다. 패턴 처리 조성물에 대한 평균 수축 양 ΔCD (=CD i - CD f )을 계산했다. 결과는 표 3에서 보여준다. 본 발명의 패턴 처리 조성물에 대해 패턴 처리 전후 조사 선량의 함수로서의 CD를 또한 결정했다. 상기 조성물에 대해 측정된 범위에 걸쳐 각 선량에서의 수축 양 (ΔCD)은 실질적으로 일정한 것으로 나타났고, 이는 낮은 근접 바이어스를 지시한다. 도 3은 패턴 처리 조성물 PTC-1에 대한 패턴 처리 전후 CD 대 조사 선량의 대표적인 플롯을 제공한다.
표 3
Figure pat00018
포토레지스트 조성물 B = Epic™ 2385 (다우 일렉트로닉 머터리알즈).

Claims (12)

  1. (a) 표면 상에 패턴화된 특징부(patterned feature)를 포함하는 반도체 기판을 제공하는 단계;
    (b) 패턴 처리 조성물을 상기 패턴화된 특징부에 도포하는 단계로서, 상기 패턴 처리 조성물은 블록 코폴리머 및 용매를 포함하고, 상기 블록 코폴리머는 제1 블록 및 제2 블록을 포함하며, 상기 제1 블록은 에틸렌계 불포화 중합성 기, 및 질소-함유 기인 수소 수용체 기를 포함하는 제1 모노머로부터 형성된 단위를 포함하고, 상기 제2 블록은 에틸렌계 불포화 중합성 기 및 방향족 기를 포함하는 제2 모노머로부터 형성된 단위를 포함하며, 단, 상기 제2 모노머는 스티렌이 아닌, 상기 도포하는 단계; 및
    (c) 상기 기판으로부터 잔류 패턴 처리 조성물을 세척(rinsing)하여 상기 패턴화된 특징부에 결합된 상기 블록 코폴리머의 일부를 남기는 단계
    를 포함하는, 패턴 처리 방법.
  2. 청구항 1에 있어서, 상기 패턴화된 특징부는 포토레지스트 패턴인, 패턴 처리 방법.
  3. 청구항 2에 있어서, 상기 포토레지스트 패턴은 하기를 포함하는 단계들에 의해 형성되는, 패턴 처리 방법:
    (a1) 포토레지스트 조성물 층을 상기 반도체 기판 위에 도포하는 단계로서, 상기 포토레지스트 조성물은 절단시 산 기 및/또는 알코올 기를 형성하는, 산 절단가능 이탈 기를 포함하는 수지; 광산 발생제; 및 용매를 포함하는, 상기 도포하는 단계;
    (a2) 상기 포토레지스트 층을 패터닝된 포토마스크를 통해 활성화 방사선에 노출시키는 단계;
    (a3) 상기 포토레지스트 층을 가열하는 단계로서, 상기 산 발생제에 의해 발생된 산은 상기 산 절단가능 이탈 기를 절단시켜 산 기 및/또는 알코올 기를 형성하는, 상기 가열하는 단계; 및
    (a4) 상기 노출된 포토레지스트 조성물 층을 유기 용매 현상액으로 현상시켜 산 기 및/또는 알코올 기를 포함하는 포토레지스트 패턴을 형성하는 단계.
  4. 청구항 1 내지 3 중 어느 한 항에 있어서, 상기 질소-함유 기는 아민, 아미드 및 피리딘으로부터 선택되는, 패턴 처리 방법.
  5. 청구항 4에 있어서, 상기 제1 모노머는 N,N-디메틸아미노에틸 메타크릴레이트 또는 비닐 피리딘인, 패턴 처리 조성물.
  6. 청구항 1 내지 5 중 어느 한 항에 있어서, 상기 제2 모노머는 하기 일반식 (I)로 표시되는, 패턴 처리 방법:
    Figure pat00019

    식 중: R1은 수소 및 C1 내지 C3 알킬 또는 할로알킬로부터 선택되고; R2는 수소, 할로겐, 및 임의로 치환된 알킬, 아릴 또는 아르알킬로부터 독립적으로 선택되고, 임의로 -O-, -S-, -C(O)O- 및 -OC(O)-로부터 선택된 하나 이상의 연결 모이어티를 포함하고, 2개 이상의 R2기는 임의로 하나 이상의 고리를 형성하고; a는 0 내지 5의 정수이고, a가 0일 때, R1은 수소가 아니다.
  7. 청구항 1 내지 5 중 어느 한 항에 있어서, 상기 제2 모노머는 (C1 내지 C3 알킬 또는 할로알킬)아크릴레이트 모노머인, 패턴 처리 방법.
  8. 청구항 7에 있어서, 상기 제2 모노머는 하기 일반식 (II)로 표시되는, 패턴 처리 방법:
    Figure pat00020

    식 중: R3은 수소 및 C1 내지 C3 알킬 또는 할로알킬로부터 선택되고; Ar은 임의로 치환된 방향족 기로부터 선택되고; Z1은 임의로 치환된 지방족 및 방향족 기, 및 이들의 조합으로부터 선택되고, 임의로 -O-, -S-, -C(O)O- 및 -OC(O)-로부터 선택된 하나 이상의 연결 모이어티를 포함하는 단일 결합 또는 스페이서 단위이다.
  9. 청구항 1 내지 8 중 어느 한 항에 있어서, 상기 제2 블록은 에틸렌계 불포화 중합성 기를 포함하는 제3 모노머로부터 형성된 단위를 추가로 포함하고, 상기 제2 모노머와 상기 제3 모노머는 상이한, 패턴 처리 방법.
  10. 청구항 1 내지 9 중 어느 한 항에 있어서, 상기 블록 코폴리머는 에틸렌 불포화된 중합성 기를 포함하는 제4 모노머로부터 형성된 단위를 포함하는 제3 블록을 포함하는, 패턴 처리 방법.
  11. 청구항 1 내지 10 중 어느 한 항에 있어서, 상기 제1 블록은 산-불안정한 기가 없는, 패턴 처리 방법.
  12. 청구항 1 내지 11 중 어느 한 항에 있어서, 상기 블록 코폴리머는 플루오로알킬기가 없는, 패턴 처리 방법.
KR1020160069090A 2015-06-03 2016-06-02 패턴 처리 방법 KR20160142787A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562170516P 2015-06-03 2015-06-03
US62/170,516 2015-06-03

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020180033295A Division KR20180032547A (ko) 2015-06-03 2018-03-22 패턴 처리 방법

Publications (1)

Publication Number Publication Date
KR20160142787A true KR20160142787A (ko) 2016-12-13

Family

ID=57452528

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160069090A KR20160142787A (ko) 2015-06-03 2016-06-02 패턴 처리 방법
KR1020180033295A KR20180032547A (ko) 2015-06-03 2018-03-22 패턴 처리 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020180033295A KR20180032547A (ko) 2015-06-03 2018-03-22 패턴 처리 방법

Country Status (5)

Country Link
US (1) US9665005B2 (ko)
JP (1) JP6231162B2 (ko)
KR (2) KR20160142787A (ko)
CN (1) CN106249539A (ko)
TW (1) TWI617900B (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016223046A (ja) * 2015-06-04 2016-12-28 東京応化工業株式会社 表面にパターンを有する繊維の製造方法
US10162265B2 (en) * 2015-12-09 2018-12-25 Rohm And Haas Electronic Materials Llc Pattern treatment methods
US10133179B2 (en) * 2016-07-29 2018-11-20 Rohm And Haas Electronic Materials Llc Pattern treatment methods
US9910355B2 (en) * 2016-07-29 2018-03-06 Rohm And Haas Electronic Materials Llc Method of negative tone development using a copolymer multilayer electrolyte and articles made therefrom
US9910353B2 (en) * 2016-07-29 2018-03-06 Dow Global Technologies Llc Method of negative tone development using a copolymer multilayer electrolyte and articles made therefrom
JP6823992B2 (ja) * 2016-10-12 2021-02-03 東京応化工業株式会社 レジストパターン形成方法、及びパターン厚肉化用ポリマー組成物
KR102288981B1 (ko) * 2017-04-17 2021-08-13 에스케이하이닉스 주식회사 임프린트 템플레이트 및 임프린트 패턴 형성 방법
KR102325779B1 (ko) * 2017-08-22 2021-11-12 에스케이이노베이션 주식회사 중성층 형성용 랜덤 공중합체 및 이를 포함하는 패턴 형성용 적층체, 이를 이용한 패턴 형성 방법
US10684545B2 (en) * 2017-11-17 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure by patterning assist layer having polymer

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007121346A (ja) * 2005-10-25 2007-05-17 Osaka Organic Chem Ind Ltd 被膜形成用樹脂組成物
KR20140050055A (ko) * 2011-07-14 2014-04-28 에이제토 엘렉토로닉 마티리알즈 아이피 (재팬) 가부시키가이샤 미세 패턴 형성용 조성물 및 이를 이용한 미세화된 패턴 형성 방법
KR20150036130A (ko) * 2012-06-29 2015-04-07 제이에스알 가부시끼가이샤 패턴 형성용 조성물 및 패턴 형성 방법

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63179360A (ja) * 1987-01-20 1988-07-23 Fuji Photo Film Co Ltd 平版印刷原版
US5219945A (en) * 1992-02-20 1993-06-15 E. I. Du Pont De Nemours And Company ABC triblock methacrylate polymers
TW536734B (en) * 2000-07-31 2003-06-11 Clariant Int Ltd Process for manufacturing a microelectronic device
JP3675434B2 (ja) 2002-10-10 2005-07-27 東京応化工業株式会社 微細パターンの形成方法
KR100585138B1 (ko) * 2004-04-08 2006-05-30 삼성전자주식회사 반도체 소자 제조용 마스크 패턴 및 그 형성 방법과 미세패턴을 가지는 반도체 소자의 제조 방법
KR100989567B1 (ko) 2007-05-15 2010-10-25 후지필름 가부시키가이샤 패턴형성방법
JP2009085989A (ja) * 2007-09-27 2009-04-23 Jsr Corp 微細パターン形成用樹脂組成物および微細パターン形成方法
US7745077B2 (en) 2008-06-18 2010-06-29 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern
US8821978B2 (en) 2009-12-18 2014-09-02 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
JP5440468B2 (ja) * 2010-01-20 2014-03-12 信越化学工業株式会社 パターン形成方法
JP5793399B2 (ja) 2011-11-04 2015-10-14 富士フイルム株式会社 パターン形成方法及びその方法に用いる架橋層形成用組成物
JP5979660B2 (ja) 2012-02-09 2016-08-24 東京応化工業株式会社 コンタクトホールパターンの形成方法
JP5891075B2 (ja) 2012-03-08 2016-03-22 東京応化工業株式会社 ブロックコポリマー含有組成物及びパターンの縮小方法
US9127113B2 (en) * 2012-05-16 2015-09-08 Rohm And Haas Electronic Materials Llc Polystyrene-polyacrylate block copolymers, methods of manufacture thereof and articles comprising the same
JP5887244B2 (ja) * 2012-09-28 2016-03-16 富士フイルム株式会社 パターン形成用自己組織化組成物、それを用いたブロックコポリマーの自己組織化によるパターン形成方法、及び自己組織化パターン、並びに電子デバイスの製造方法
KR20150123269A (ko) 2013-02-25 2015-11-03 더 유니버서티 어브 퀸슬랜드 리소그래피로 생성된 구조물
KR20140120212A (ko) 2013-04-02 2014-10-13 주식회사 동진쎄미켐 미세패턴 형성용 코팅 조성물 및 이를 이용한 미세패턴 형성방법
JP5981392B2 (ja) * 2013-06-19 2016-08-31 株式会社東芝 パターン形成方法
JP6134619B2 (ja) 2013-09-13 2017-05-24 富士フイルム株式会社 パターン形成方法、及び、電子デバイスの製造方法
JP6233240B2 (ja) * 2013-09-26 2017-11-22 信越化学工業株式会社 パターン形成方法
KR102198023B1 (ko) 2013-10-30 2021-01-05 삼성전자주식회사 반도체 소자의 패턴 형성방법
JP6347148B2 (ja) * 2014-05-08 2018-06-27 Jsr株式会社 パターン形成用組成物及びパターン形成方法
JP6459759B2 (ja) * 2014-05-26 2019-01-30 信越化学工業株式会社 パターン形成方法及びシュリンク剤
US9448483B2 (en) 2014-07-31 2016-09-20 Dow Global Technologies Llc Pattern shrink methods
JP6481602B2 (ja) * 2015-01-09 2019-03-13 信越化学工業株式会社 パターン形成方法及びシュリンク剤

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007121346A (ja) * 2005-10-25 2007-05-17 Osaka Organic Chem Ind Ltd 被膜形成用樹脂組成物
KR20140050055A (ko) * 2011-07-14 2014-04-28 에이제토 엘렉토로닉 마티리알즈 아이피 (재팬) 가부시키가이샤 미세 패턴 형성용 조성물 및 이를 이용한 미세화된 패턴 형성 방법
KR20150036130A (ko) * 2012-06-29 2015-04-07 제이에스알 가부시끼가이샤 패턴 형성용 조성물 및 패턴 형성 방법

Also Published As

Publication number Publication date
JP6231162B2 (ja) 2017-11-15
US20160357109A1 (en) 2016-12-08
CN106249539A (zh) 2016-12-21
JP2016224448A (ja) 2016-12-28
US9665005B2 (en) 2017-05-30
TW201643565A (zh) 2016-12-16
TWI617900B (zh) 2018-03-11
KR20180032547A (ko) 2018-03-30

Similar Documents

Publication Publication Date Title
KR101992695B1 (ko) 패턴 처리 방법
TWI588896B (zh) 圖案收縮方法
KR20180032547A (ko) 패턴 처리 방법
TWI624737B (zh) 圖案處理方法
KR101809569B1 (ko) 패턴 처리용 조성물 및 방법
KR101939998B1 (ko) 패턴 처리 방법
KR101809571B1 (ko) 패턴 처리용 조성물 및 방법
KR101917136B1 (ko) 블록 코폴리머 및 패턴 처리 조성물, 및 방법
KR102025105B1 (ko) 코폴리머 다층 전해질을 사용한 네거티브 톤 현상의 방법 및 이로부터 제조된 물품
KR102048729B1 (ko) 코폴리머 다층 전해질을 사용한 네거티브 톤 현상 방법 및 이로부터 제조된 물품

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E601 Decision to refuse application
A107 Divisional application of patent
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2018101001272; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20180322

Effective date: 20200110

S901 Examination by remand of revocation
E902 Notification of reason for refusal
S601 Decision to reject again after remand of revocation